Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Variables for ams.env Files

nomempack

Prepares design units for access by the PLI routine tf_nodeinfo.

Syntax

amsDirect.vlog nomempack boolean t | nil

Values

 

t

Prepares design units for access by the PLI routine

 

tf_nodeinfo.

nil

Does not prepare design units for access by the PLI routine

 

tf_nodeinfo. This is the default.

Example

amsDirect.vlog nomempack boolean t

Tells AMS Design Prep to compile Verilog files with the-nomempack option. As a result, the generated command might look like this.

ncvlog -nomempack

April 2004

460

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

nopragmawarn

Suppresses warning messages related to pragmas.

Syntax

amsDirect.vlog nopragmawarn boolean t | nil

Values

 

t

Suppresses warning messages related to pragmas.

nil

Displays warning messages related to pragmas. This is the

 

default.

April 2004

461

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

nostdout

.Suppresses printing of output to the screen but does not change what is written to the log file.

Syntax

amsDirect.vlog nostdout boolean t | nil

Values

 

t

Suppresses printing of output to the screen.

nil

Prints output to the screen. This is the default.

April 2004

462

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

nowarn

Suppresses warning messages that have specified codes.

Syntax

amsDirect.vlog nowarn string "msgcodes"

Values

msgcodes

The default is an empty string.

April 2004

463

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]