Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Variables for ams.env Files

verboseUpdate

Controls whether the names of already up-to-date modules are included in the log file generated for an update compilation.

Syntax

amsDirect.vlog verboseUpdate boolean t | nil

Values

 

t

Places a checkmark next to the Print verbose messages

 

during update field on theVerilog-AMS pane of the AMS

 

Options window. This tells the compiler to print the names of

 

already up-to-date cells in the log, while updating cells. This is

 

the default.

nil

Removes the checkmark, indicating that the names of up-to-date

 

cells are not to be printed in the log, while updating cells.

Example

amsDirect.vlog verboseUpdate boolean t

Example

amsDirect.simcntl useScaddltranopts boolean nil

Removes the checkmark next to the Print verbose messages during update field. As a result, the names of up-to-date cells do not appear in the log.

April 2004

572

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

vlogGroundSigs

Specifies which signals are to be declared as ground.

Syntax

amsDirect.prep vlogGroundSigs string "signal_list"

Values

 

signal_list

A list of signals to be declared, by default, as ground. The default

 

is gnd!.

Description

AMS Design Prep uses the value of this variable to determine which wires should be declared as ground.

Example

For example, if the variable is defined like

amsDirect.prep vlogGroundSigs string "gnd! gnd2!"

then AMS Design Prep declares any new global signals named gnd! and gnd2! to be a ground.

April 2004

573

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

vloglinedebug

Enables support for setting line breakpoints and for single-stepping through code.

Syntax

amsDirect.vlog vloglinedebug boolean t | nil

Values

t

nil

This is the default.

Description

Example

amsDirect.vlog vloglinedubug boolean t

Tells AMS Design Prep to compile Verilog files with the-linedebug option. As a result, the generated command might look like this.

ncvlog -linedebug

April 2004

574

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

vlogSupply0Sigs

Specifies which signals are to be declared as supply0 wire types.

Syntax

amsDirect.prep vlogSupply0Sigs string "signal_list"

Values

 

signal_list

A list of signals to be declared, by default, as supply0 wires. The

 

default is an empty string.

Description

AMS Design Prep uses the value of this variable to determine which wires should be declared as supply0 wire types.

Example

For example, if the variable is defined like

amsDirect.prep vlogSupply0Sigs string "vss! vss2!"

then AMS Design Prep declares any new global signals named vss! and vss2! to be a supply0 wire type.

April 2004

575

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]