Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso® AMS Environment User Guide

Product Version 5.3

April 2004

2000-2004 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America.

Cadence Design Systems, Inc., 555 River Oaks Parkway, San Jose, CA 95134, USA

Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document are attributed to Cadence with the appropriate symbol. For queries regarding Cadence’s trademarks, contact the corporate legal department at the address shown above or call 800.862.4522.

All other trademarks are the property of their respective holders.

Restricted Print Permission: This publication is protected by copyright and any unauthorized use of this publication may violate copyright, trademark, and other laws. Except as specified in this permission statement, this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence. This statement grants you permission to print one (1) hard copy of this publication subject to the following conditions:

1.The publication may be used solely for personal, informational, and noncommercial purposes;

2.The publication may not be modified in any way;

3.Any copy of the publication or portion thereof must include all original copyright, trademark, and other proprietary notices and this permission statement; and

4.Cadence reserves the right to revoke this authorization at any time, and any such use shall be discontinued immediately upon written notice from Cadence.

Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence. The information contained herein is the proprietary and confidential information of Cadence or its licensors, and is supplied subject to, and may be used only by Cadence’s customer in accordance with, a written agreement between Cadence and its customer. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights, nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information.

Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq. or its successor.

Virtuoso AMS Environment User Guide

Contents

Preface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

17

Related Documents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

18

Typographic and Syntax Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

19

1

 

Overview of the Virtuoso AMS Designer Flow . . . . . . . . . . . . . . . .

21

The AMS Designer Flow Supports Both Analog and Digital Designers . . . . . . . . . . . . . .

22

Creating HDL Modules for CDBA Cellviews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

23

Creating HDL Data as You Save CDBA Cellviews . . . . . . . . . . . . . . . . . . . . . . . . . . .

23

Creating HDL Data from Pre-existing CDBA Cellviews . . . . . . . . . . . . . . . . . . . . . . .

23

2

 

Quick-Start Tutorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

25

The Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

25

AMS Designer Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

26

Setting Up the Tutorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

27

Running from a Script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

27

Running within the AMS Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

28

Opening the Command Interpreter Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

29

Opening the Schematic and Design Configuration . . . . . . . . . . . . . . . . . . . . . . . . . .

29

Netlisting and Compiling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

33

Elaborating and Simulating the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

43

Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

55

3

 

Setting Up the AMS Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

57

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

58

The hdl.var File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

58

The ams.env Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

59

AMS Designer Supports Design Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

60

April 2004

3

Product Version 5.3

Virtuoso AMS Environment User Guide

Specifying the Text Editor to Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

60

Specifying Fonts for the Cadence Hierarchy Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

60

Preparing to Use AMS Designer from the Hierarchy Editor . . . . . . . . . . . . . . . . . . . . . . .

62

4

 

Netlisting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. 67

Netlisting Modes Supported by the AMS Netlister . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

68

Automatic Netlisting of a Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

68

Netlist Updating and Netlisting of Entire Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . .

70

Netlisting from the UNIX Command Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

70

Library Netlisting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

72

Netlisting of Cells in Response to Changes in CDF . . . . . . . . . . . . . . . . . . . . . . . . . .

74

Preparing Existing Analog Primitive Libraries for Netlisting . . . . . . . . . . . . . . . . . . . . . . .

74

Specifying the Behavior of the Netlister and Compilers . . . . . . . . . . . . . . . . . . . . . . . . . .

74

Opening the AMS Options Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

75

Setting Netlister Options from the Hierarchy Editor . . . . . . . . . . . . . . . . . . . . . . . . . .

77

Opening the CIW AMS Options Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

86

Setting Compiler Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

98

Viewing the AMS Netlister Log . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

126

Understanding the Output from the AMS Netlister . . . . . . . . . . . . . . . . . . . . . . . . . . . .

126

How Inherited Connections Are Netlisted . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

127

Inherited Signal Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

128

Inherited Terminal Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

129

Instance Values for Inherited Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

129

Third-Party Tools and Other Cadence Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

130

How Aliased Signals Are Netlisted . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

131

How m-factors (Multiplicity Factors) Are Netlisted . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

132

How Iterated Instances Are Netlisted . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

133

Passing Model Names as Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

134

Effect of the modelname, model, and modelName Parameters . . . . . . . . . . . . . . . .

134

Handling of the model* and componentName Parameters . . . . . . . . . . . . . . . . . . .

136

Precedence of the model* and componentName Parameters . . . . . . . . . . . . . . . . .

136

Specifying Parameters to be Excluded from Netlisting . . . . . . . . . . . . . . . . . . . . . . . . .

137

Ignoring Parameters for Entire Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

137

Example: Specifying Parameters to Ignore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

140

April 2004

4

Product Version 5.3

Virtuoso AMS Environment User Guide

Preparing to Netlist User-Defined Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

140

Ensuring that Floating Point Parameters Netlist Correctly . . . . . . . . . . . . . . . . . . . . . . .

143

Defining the Parameter and Specifying a Default Value . . . . . . . . . . . . . . . . . . . . . .

143

Overriding the Default Parameter for Specifi Instances . . . . . . . . . . . . . . . . . . . . .

145

5

 

Working with Schematic Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

149

Setting Schematic Rules Checker Options for AMS Designer . . . . . . . . . . . . . . . . . . . .

150

Creating Cellviews Using the AMS Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

152

Preparing a Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

152

Creating the Symbol View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

155

Using Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

155

Creating a Verilog-AMS or VHDL-AMS Cellview from a Symbol or Block . . . . . . . .

157

Descend Edit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

160

Creating a Verilog-AMS Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

161

Creating a VHDL-AMS Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

163

Creating a Symbol Cellview from a Verilog-AMS Cellview . . . . . . . . . . . . . . . . . . . .

165

Inherited Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

167

Global Signals in the Schematic Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

167

Inherited Connections in a Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

168

Defining Inherited Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

170

How Net Expressions Evaluate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

171

Net and Pin Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

172

groundSensitivity and supplySensitivity Properties . . . . . . . . . . . . . . . . . . . . . . . . .

173

Making Connect Modules Sensitive to Inherited Connection Values . . . . . . . . . . . .

176

6

 

Using External Text Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

179

Overview of Steps for Using External Text Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . .

180

Bringing Modules into a Cadence Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

180

Specifying the Working Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

180

Compiling into Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

181

Compiling into Temporary Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

182

Listing Compiled Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

183

Using Text Blocks in Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

184

April 2004

5

Product Version 5.3

Virtuoso AMS Environment User Guide

Using Modules Located in a Cadence Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

186

Creating a Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

186

Preparing for Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

186

7

 

Using Existing Designs in the AMS Environment. . . . . . . . . . . .

187

Using Analog Primitives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

188

Using SPICE and Spectre Netlists and Subcircuits . . . . . . . . . . . . . . . . . . . . . . . . . . . .

188

Preparing to Use SPICE and Spectre Netlists and Subcircuits . . . . . . . . . . . . . . . .

188

Placing SPICE and Spectre Netlists and Subcircuits in a Schematic . . . . . . . . . . .

189

8

 

Using Test Fixtures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

193

Creating and Using a Textual Test Fixture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

194

Creating a Textual Test Fixture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

194

Using a Test Fixture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

195

Example: Creating and Using a Test Fixture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

195

9

 

Using Design Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

199

Overview of Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

200

Creating a Config Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

200

Using VHDL Modules in a Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

206

Ensuring HDL Design Unit Information Is Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

206

Using a Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

206

10

 

Preparing a Design for Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . .

209

Overview of AMS Design Prep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

210

What AMS Design Prep Does to Prepare a Design for Simulation . . . . . . . . . . . . .

210

When to Use AMS Design Prep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

211

Specifying the Behavior of AMS Design Prep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

211

Setting Options for Global Design Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

211

April 2004

6

Product Version 5.3

Virtuoso AMS Environment User Guide

Specifying Global Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

213

Specifying Design Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

216

Specifying Model Files to Use During Elaboration . . . . . . . . . . . . . . . . . . . . . . . . . .

219

Running AMS Design Prep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

221

How AMS Design Prep Handles Global Signals and Design Variables . . . . . . . . . . . . .

225

The cds_globals Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

226

Global Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

228

Design Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

229

11

 

Elaborating, Simulating, and Plotting Results . . . . . . . . . . . . . . . .

231

Specifying the Behavior of the Elaborator, Simulator, and Waveform Viewer . . . . . . . .

232

Setting Elaborator Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

232

Setting Simulator Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

247

Setting Waveform Selection Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

274

Creating Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

277

Defining Databases for Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

281

Selecting Instances from the Virtuoso Schematic Editing Window . . . . . . . . . . . . .

282

Selecting Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

283

Selecting Instances from the Scope Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

284

Copying and Pasting Within Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

285

Elaborating and Simulating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

286

Viewing Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

289

Plotting Waveforms After Simulation Ends . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

290

Starting the SimVision Waveform Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

291

Plotting Waveforms Selected on a Schematic (Direct Plot) . . . . . . . . . . . . . . . . . . .

293

12

Using the amsdesigner Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297

13

Producing Customized Netlists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299

Producing Customized Netlists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

300

April 2004

7

Product Version 5.3

Virtuoso AMS Environment User Guide

 

Identifying the Sections of a Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 301

Using ams.env Variables to Customize Netlists . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 302

Using Netlisting Procedures to Customize Netlists . . . . . . . . . . . . . . . . . . . .

. . . . . 303

Examples: Problems Addressed by Customized Netlists . . . . . . . . . . . . . . . . . .

. . . . . 314

Example: Adjusting Parameter Values to Account for Number of Fingers . . .

. . . . . 314

Example: Using Symbols that Represent Verilog Test Code . . . . . . . . . . . . .

. . . . . 318

Example: Using CDF Instance Parameters to Define Inherited Connections

. . . . . 322

Data Objects Supported for Netlisting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 327

Netlister Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 327

Formatter Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 328

Cellview Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 330

Parameter Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 331

Instance Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 332

SKILL Functions Supported for Netlisting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 333

Default Netlisting Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 334

Netlisting Helper Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 344

A

 

Variables for ams.env Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 359

How AMS Designer Determines the Set of Variables . . . . . . . . . . . . . . . . . . . . .

. . . . . 360

Why AMS Designer Uses ams.env Files, Not .cdsenv Files . . . . . . . . . . . . . . . .

. . . . . 360

List of ams.env Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 362

Detailed Descriptions of ams.env Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 366

aliasInstFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 367

allowDeviantBuses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 368

allowIllegalIdentifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 370

allowNameCollisions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 372

allowSparseBuses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 374

allowUndefParams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 376

amsCompMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 377

amsDefinitionViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 378

amsEligibleViewTypes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 380

amsExcludeParams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 381

amsExpScalingFactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 382

amsLSB_MSB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 384

April 2004

8

Product Version 5.3

Virtuoso AMS Environment User Guide

 

amsMaxErrors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

385

amsScalarInstances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

386

amsVerbose . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

387

analogControlFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

388

bindCdsAliasLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

389

bindCdsAliasView . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

390

cdsGlobalsLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

391

cdsGlobalsView . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

392

checkAndNetlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

393

checkOnly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

394

checktasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

395

compileAsAMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

396

compileExcludeLibs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

397

compileMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

398

connectRulesCell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

400

connectRulesCell2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

401

connectRulesLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

402

connectRulesView . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

403

detailedDisciplineRes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

404

discipline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

405

excludeViewNames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

406

hdlVarFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

407

headerText . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

408

ieee1364 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

409

ifdefLanguageExtensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

410

incdir . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

411

includeFiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

412

includeInstCdfParams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

413

initFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

414

instClashFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

415

iterInstExpFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

416

language . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

417

lexpragma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

418

logFileAction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

420

logFileName . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

421

macro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

423

April 2004

9

Product Version 5.3

Virtuoso AMS Environment User Guide

 

markcelldefines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

424

maxErrors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

425

messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

426

modifyParamScope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

427

ncelabAccess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

431

ncelabAfile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

432

ncelabAnnoSimtime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

433

ncelabArguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

434

ncelabCoverage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

435

ncelabDelayMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

436

ncelabDelayType through ncelabMessages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

438

ncelabMixEsc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

439

ncelabModelFilePaths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

440

ncelabNeverwarn through ncelabVipdelay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

441

ncsimArguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

444

ncsimEpulseNoMsg through ncsimExtassertmsg . . . . . . . . . . . . . . . . . . . . . . . . . .

445

ncsimGUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

446

ncsimLoadvpi through ncsimStatus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

447

ncsimTcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

448

ncsimUnbuffered through ncsimUseAddArgs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

449

ncvhdlArguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

450

ncvlogArguments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

451

ncvlogUseAddArgs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

452

netClashFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

453

netlistAfterCdfChange . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

454

netlistMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

455

netlistUDFAsMacro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

456

neverwarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

458

noline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

459

nomempack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

460

nopragmawarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

461

nostdout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

462

nowarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

463

paramDefVals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

464

paramGlobalDefVal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

465

pragma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

466

April 2004

10

Product Version 5.3

Virtuoso AMS Environment User Guide

 

processViewNames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

467

prohibitCompile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

468

runNcelab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

469

runNcsim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

470

scaddlglblopts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

471

scaddltranopts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

472

scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

473

scalem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

474

scannotate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

475

scapprox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

476

scaudit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

477

sccheckstmt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

478

sccmin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

479

sccompatible . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

480

scdebug . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

481

scdiagnose . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

482

scdigits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

483

scerror . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

484

scerrpreset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

485

scfastbreak . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

486

scgmin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

487

scgmincheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

488

schomotopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

489

sciabstol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

490

scic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

491

scicstmt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

492

scignshorts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

493

scinfo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

494

scinventory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

495

sclimit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

496

sclteratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

497

scmacromod . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

498

scmaxiters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

499

scmaxnotes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

500

scmaxrsd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

501

scmaxstep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

502

April 2004

11

Product Version 5.3

 

Virtuoso AMS Environment User Guide

scmaxwarn . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503

scmethod . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504

scmodelevaltype .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505

scmosvres . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506

scnarrate . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507

scnotation . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508

scnote . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509

scopptcheck . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510

scpivabs . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511

scpivotdc . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512

scpivrel . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 513

scquantities . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514

screadic . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515

screadns . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516

screlref . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517

screltol . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518

scrforce . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519

scscale . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520

scscalem . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521

scscfincfile . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522

scscftimestamp . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523

scscfusefileflag . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524

scskipcount . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525

scskipdc . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526

scskipstart . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527

scskipstop . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528

scspeed . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529

scspscflag . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531

scstats . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532

scstep . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533

scstop . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534

scstrobedelay . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535

scstrobeperiod . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536

sctemp . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537

sctempeffects . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538

sctitle . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539

April 2004

12

Product Version 5.3

Virtuoso AMS Environment User Guide

 

sctnom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

540

sctopcheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

541

sctransave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

542

scusemodeleval . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

543

scvabstol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

544

scwarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

545

scwrite . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

546

scwritefinal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

547

simRunDirLoc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

548

simVisScriptFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

549

status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

550

templateFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

551

templateScript . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

552

timescale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

553

update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

554

use5xForVHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

555

useDefparam . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

556

useNcelabNowarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

558

useNcelabSdfCmdFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

559

useNcsimNowarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

560

useNowarn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

561

useScaddlglblopts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

562

useScaddltranopts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

563

useScic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

564

useScreadic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

565

useScreadns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

566

useScscfincfile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

567

useScwrite . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

568

useScwritefinal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

569

useSimVisScriptFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

570

useProcessViewNamesOnly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

571

verboseUpdate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

572

vlogGroundSigs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

573

vloglinedebug . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

574

vlogSupply0Sigs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

575

vlogSupply1Sigs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

576

April 2004

13

Product Version 5.3

Virtuoso AMS Environment User Guide

wfDefaultDatabase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577 wfDefInstCSaveAll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578 wfDefInstCSaveLvl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579 wfDefInstSaveCurrents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580 wfDefInstSaveVoltages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581 wfDefInstVSaveAll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582 wfDefInstVSaveLvl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583 wfDefInstVSaveObjects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584

B

 

Updating Legacy SimInfo for Analog Primitives. . . . . . . . .

. . . . . 585

The ams Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 585

Special Handling of model, modelName, modelname, and componentName

. . . . . 597

Converting an Existing Analog Primitive Library . . . . . . . . . . . . . . . . . . . . . .

. . . . . 598

C

 

Designing for Virtuoso AMS Compliance . . . . . . . . . . . . . . . .

. . . . . 603

Identifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . 604

Follow the Recommended Syntax for Identifiers . . . . . . . . . . . . . . . . . . . . . .

. . . . . 604

Ensure that Identifiers Map Cleanly to Netlist Languages . . . . . . . . . . . . . . .

. . . . . 604

Ensure that Identifiers Are Unique within Your Design . . . . . . . . . . . . . . . . . .

. . . . . 605

Terminals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 606

Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 607

Component Description Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 608

Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 608

Using Inherited Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 608

Using Cell Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 609

Using Efficient Formats for Parameter Values . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 609

Parameterized Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 609

VHDL-AMS Component Declarations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 610

Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 610

Properties to Avoid Completely . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . 610

Avoid the portOrder Property Unless Required by Special Circumstances . . .

. . . . 610

Properties to Use Only in AMS Compatibility Mode . . . . . . . . . . . . . . . . . . . . .

. . . . 611

Properties That Have No Special Meaning in the AMS Environment . . . . . . . .

. . . . 611

April 2004

14

Product Version 5.3

Virtuoso AMS Environment User Guide

 

Properties Fully Supported by the AMS Environment . . . . . . . . . . . . . . . . . . . . . . .

612

D

 

SKILL Functions and Customization Variables . . . . . . . . . . . . . .

615

SKILL Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

616

amsCheckCV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

617

amsIsPresent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

618

amsNetlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

619

amsProcessCellViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

622

amsUIOptionsForm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

625

amsUIRunNetlisterForm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

626

ddsCvtAMSTranslateCell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

627

ddsCvtAMSTranslateLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

630

ddsCvtToolBoxAMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

632

vmsUpdateCellViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

633

Customization Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

635

schHdlNotCreateDB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

636

schHdlUseVamsForVerilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

637

vmsAnalysisType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

638

vmsCreateMissingMasters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

639

vmsNcvlogExecutable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

640

vmsPortProcessing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

641

vmsRunningInUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

642

vmsTemplateScript . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

643

vmsVerboseMsgLevel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

644

E

 

Compiling Cadence-Provided Libraries . . . . . . . . . . . . . . . . . . . . . . .

645

Purpose of the amsLibCompile Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

646

Running the amsLibCompile Tool Manually . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

646

Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

646

April 2004

15

Product Version 5.3

Virtuoso AMS Environment User Guide

April 2004

16

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]