Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Variables for ams.env Files

detailedDisciplineRes

Specifies the kind of discipline resolution to be used.

Syntax

amsDirect.prep detailedDisciplineRes boolean t | nil

Values

 

t

AMS Design Prep uses the detailed method of discipline

 

resolution.

nil

AMS Design Prep uses the default method of discipline

 

resolution. This is the default.

Description

For a description of these methods, see the “Discipline Resolution Method” section of chapter 11, in the Cadence Verilog-AMS Language Reference.

Example

amsDirect.prep detailedDisciplineRes boolean nil

Specifies that the default method of discipline resolution is to be used.

April 2004

404

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

discipline

Specifies a default discipline for discrete nets for which a discipline is either not specified or cannot be determined through discipline resolution.

Syntax

amsDirect.prep discipline string "discipline"

Values

 

discipline

The discipline to be used for discrete nets of otherwise unknown

 

discipline. The default is logic.

Example

amsDirect.prep discipline string "logic"

Specifies that thelogic discipline is to be used for discrete nets that do not have a known discipline.

April 2004

405

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

excludeViewNames

Specifies the names of cellviews that are not to be netlisted.

Syntax

amsDirect.vlog excludeViewNames string "list_of_view_names"

Values

list_of_view_names A list of view names separated by white space. Cellviews with these names are not netlisted. The default is an empty string.

Description

Normally, changes to cellviews while netlisting is enabled or changes to the CDF of cells while the netlistAfterCdfChange variable is set to t trigger netlisting. However, cells whose names are included in list_of_view_names are not netlisted.

Example

amsDirect.vlog excludeViewNames string "sch[0-3]"

April 2004

406

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

hdlVarFile

Specifies the name of thehdl.var file to be used with thencvlog, ncelab, and ncsim commands.

Syntax

amsDirect.prep hdlVarFile string "file"

Values

 

file

An hdl.var file to be used with the-hdlvar option of the

 

ncvlog, ncelab, and ncsim commands. If file is not

 

specified, the-hdlvar option is not used with these commands.

 

The default is an empty string.

Description

If file is an empty string, the ncvlog, ncelab, and ncsim commands run without the -hdlvar option. As a result, each tool looks for an hdl.var file in the directory where that tool started. If there is no hdl.var file in that location, the tool issues a warning. Because ncvlog starts in the directory where you start the Cadence software and ncelab and ncsim start in the run directory, the tools are likely to use different hdl.var files if they are not specified explicitly.

If you use a relative path, be aware that paths are relative to the directory where the tool starts. The ncvlog tool starts in the current working directory so the path is relative to that directory. However, the ncelab and ncsim tools start in the run directory so the path for them is relative to the run directory. As a consequence, the different tools are likely to use different hdl.var files.

To be sure that all the tools find the appropriatehdl.var file, use an absolute path.

Example

amsDirect.prep hdlVarFile string "prepvarfile"

Specifies that thencvlog, ncelab, and ncsim commands generated by AMS Designer are to include the following option.

-hdlvar "prepvarfile"

April 2004

407

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]