Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Elaborating, Simulating, and Plotting Results

A type-in field with focus, such as the row2 Name field in this example,

restricts the focus to that field. If one of the fields has focus, you can remove the focus by pressing Escape.

b.Highlight the rows that you want to copy by clicking the row numbers on the left side.

After you highlight one row, you can highlight additional rows by Shift clicking (for contiguous rows) or Control clicking (for scattered rows).

c.Right click to open the pop-up menu and choose Copy.

d.Position the cursor over the row where you want to paste the copied row (or, if you are pasting multiple rows, over what is to be the topmost pasted row).

e.Right click to open the pop-up menu and choose Paste.

To copy all or part of a type-in field,

a.Click the type-in field to be copied. The focus moves to that field.

b.Within the type-in field, highlight the part of the field to be copied.

c.Right click to open the pop-up menu and choose Copy.

d.Click the target type-in field. Focus moves to the target field.

e.Right click to open the pop-up menu and choose Paste.

Elaborating and Simulating

To use AMS Designer to simulate a design, you must have a license for the AMS simulator (product number 70001). If you attempt to simulate a design without a license, the simulation appears to run indefinitely. AMS Designer does not produce an obvious message to help you understand the problem, although the tool does place a message in the ncsim.log file.

To elaborate and simulate your design,

1. From the Cadence hierarchy editor, choose AMS – Run Simulation.

April 2004

286

Product Version 5.3

Virtuoso AMS Environment User Guide

Elaborating, Simulating, and Plotting Results

The AMS Run Simulation form appears.

2.Ensure that the correct library, cell, and view are specified in theConfiguration section.

3.Ensure that the correct library and view are specified in theGlobal Design Data Module section.

The cds_globals module, which is created by AMS Design Prep, contains information about global signals and design variables. The cell is always named cds_globals and, by default, the view name is created from the top-level cell name and the config name, using the format topCell_configView.

4.In the Connect Rules section, specify the connectrules module to be used.

The connectrules module tells the elaborator when to insert connection modules. Which default connectrules module appears in the form depends on the version of the AMS simulator that you are using. For more information, see “Using Connect Modules”, in the “Mixed-Signal Aspects of Verilog-AMS” chapter of theCadence

Verilog-AMS Language Reference.

5.(Optional) Change the information in the Simulation Snapshot section.

April 2004

287

Product Version 5.3

Virtuoso AMS Environment User Guide

Elaborating, Simulating, and Plotting Results

The default snapshot view name incorporates a time mark to ensure that existing snapshots in the library are not accidentally overwritten.

You might want to save the snapshot under a simpler, more convenient name if you plan to simulate a different existing snapshot, or if you want to resimulate this snapshot from a different run directory.

Note: Before simulating, be sure that the View field correctly names a valid snapshot view. If you type in a snapshot view that does not exist in the library and attempt to simulate, the simulation fails without issuing an error message.

6.(Optional) Click Elaborator Options if you want to change those options.

7.(Optional) Click Simulator Options if you want to change those options.

8.(Optional) Enter a stop time in the Tran analysis stop time field if the stop time has not been specified in some other way, such as by using a simulation control file.

9.(Optional) Click Analog Models Setup if you want to change the models that are used in the design

10.If you want to run the elaborator, ensure that Run Elaborator is checked.

11.If you want to run the simulator, ensure that Run Simulator is checked.

12.In the Run Mode cyclic field, choose whether you want to simulate in GUI (interactive), Tcl, or batch mode.

GUI Opens a graphical interface that allows you to interact with the simulator by using buttons, menus, and Tcl commands.

Tcl Opens a text-based window where you can use the Cadence-supported Tcl commands to interact with the simulator. (For a description of the Tcl commands, see Appendix B, “Tcl-Based Debugging,” in theVirtuoso

AMS Simulator User Guide.) (Note: If the Tcl window does not appear, verify that the xterm command is in your path.)

If you have not specified a Tcl input script, the window opens and waits for you to enter a Tcl command.

If you have specified a Tcl input script, the script runs as soon as the window opens. If the script contains an exit or finish command, the the window closes after the script runs. If the script does not cause the simulator to exit, the window remains open, waiting for you to enter a Tcl command.

To close the window, type the exit or finish commands.

April 2004

288

Product Version 5.3

Virtuoso AMS Environment User Guide

Elaborating, Simulating, and Plotting Results

Batch Runs the simulation in the background. This mode, which does not allow you to interact with the simulator, usually simulates more quickly than the other modes.

13.(Optional) Click Save to write the ncelab and ncsim commands to the runElabSim script in the run directory.

Writing the commands to a file makes it possible for you to reuse the commands later.

14.Click Run.

Viewing Messages

AMS Designer places the ncelab.log, ncsim.log, ncvhdl.log, and ncvlog.log files in the run directory. You can view them there by using a tool such as vi. If your primary interest is in viewing warnings and errors found in these log files, you can use the following steps instead.

1.From the Cadence hierarchy editor, choose AMS – Logfile Viewer – Simulator Log

Files.

April 2004

289

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]