Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Netlisting

For information on the corresponding ams.env variables, see “allowIllegalIdentifiers” on page 370, “allowNameCollisions” on page 372, “allowDeviantBuses” on page 368, and “allowSparseBuses” on page 374.

3. When you are done making changes to the pane, click OK.

Setting Compiler Options

AMS Designer provides many options for the compiler, that allow you to tailor the compiler behavior for your needs. There are two main graphical interfaces that you can use to set the options:

Through AMS – Options in the Cadence hierarchy editor

For guidance on using this interface, see “Setting Compiler Options from the Hierarchy Editor” on page 98.

Through Tools – AMS – Options in the CIW

For guidance on using this interface, see “Setting Compiler Options from the CIW” on page 114.

You can set the values of most variables using either of these interfaces. They both operate on the same ams.env file so changes that you make from one interface are reflected in the other.

Setting Compiler Options from the Hierarchy Editor

To review or change compiler options from the Cadence hierarchy editor,

1.From the hierarchy editor, choose AMS – Options – Compiler.

If the AMS menu entry is not visible, follow the instructions in “Preparing to Use AMS Designer from the Hierarchy Editor” on page 62.

The AMS Options window appears.

April 2004

98

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

2.Expand the Compiler category as necessary to find the options you want to change.

When fully expanded, the Compiler category displays the following subcategories.

3.Highlight the category that you want to change.

The corresponding pane appears. For information about the fields in each pane, see the following cross-references:

Category

Purpose

For information, see

Compiler

Specifies anhdl.var file

“Setting General Compiler

 

to use and libraries to be

Options” on page 100

 

excluded from compilation

 

 

Verilog-AMS

Controls how Verilog-AMS

“Setting Optionsorf the

 

 

modules are compiled

Verilog-AMS Compiler” on

 

 

page 101

Macros/ Defines macros used

Includes during compilation and directories to be searched for included source files

“Specifying Macros and Specifying Directories to be Searched” on page 104

Checks

Specifies the checks to be

“Specifying Checks” on

 

used on Verilog-AMS

page 106

 

modules

 

April 2004

99

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Category

Purpose

Messages/ Controls the production Errors and display of messages

generated by compiling Verilog-AMS modules

VHDL-AMS Controls how VHDL-AMS modules are compiled

For information, see

“Setting Optionsorf Messages Generated by Compiling Verilog-AMS Modules” on page 107

“Setting Optionsorf the VHDL-AMS Compiler” on page 109

Messages/ Controls the production

Errors and display of messages generated by compiling VHDL -AMS modules

“Setting Optionsorf Messages Generated by Compiling VHDL-AMS Modules” on page 112

Setting General Compiler Options

To specify an hdl.var file to use and to specify libraries to be excluded from compilation,

1. Select the Compiler category to display the Compiler pane.

2.Type the path and name of the hdl.var file into thehdl.var file field.

An hdl.var file that you specify here is used with the compilers, the elaborator, and the simulator.

April 2004

100

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Be sure that the path is fully qualified, either as an absolute path or with environment variables. Otherwise, because the compilers run in the directory from which you start the software but the elaborator and simulator run in the run directory, you might unexpectedly use different hdl.var files.

3.Specify libraries that are not to be compiled.

To enter the library names, type one name at a time into the Library Name field and click Add. The libraries to be excluded from compilation appear in the list below.

In compile all mode (such as when the ams.env compileMode variable is set to "all"), AMS Design Prep, by default, compiles every cell referenced in the design hierarchy. However, when you add names to the Exclude Libraries list, cells in the design hierarchy that belong to a library in the list are not compiled.

Be aware that, by working through the Cadence hierarchy editor, you can still compile modules included in libraries of the Exclude Libraries list. Right-clicking on a module in the Tree, Table, or Instance Table view of a design configuration opens a pop-up menu that includes a Compile Netlist command. This command overrides the more general prohibition on compilation that you can specify in this Compiler form.

For information on the corresponding ams.env variable, see “compileExcludeLibs” on page 397.

Setting Options for the Verilog-AMS Compiler

To specify the behavior of the Verilog-AMS compiler,

April 2004

101

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Compiler – Verilog-AMS category to display the Verilog-AMS Compiler pane.

2.Fill in and select fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvlog Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

Field

Corresponding ncvlog

Effect

 

Option

 

 

 

 

Maximum number of

-errormax

Stops compilation if the number of

errors

 

errors reaches the specified

 

 

maximum limit.

April 2004

102

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding ncvlog

Effect

 

Option

 

 

 

 

 

 

Log file

-append_log,

Controls the generation of log files.

 

-nolog

 

 

Update if needed

-update

Recompiles the design after design

 

 

units, source files, or compiler

 

 

directives are added, or if a design

 

 

unit is changed in a way that

 

 

introduces a new cross-file

 

 

dependency.

Print verbose

-uptodate_messages Prints the names of up-to-date

messages during

 

modules that otherwise are not

update

 

printed in the log file.

Enable line debug

-linedebug

Enables support for setting line

 

 

breakpoints and for single-stepping

 

 

through code.

Mark cells with

-libcell

Inserts ‘celldefine and

‘celldefine

 

‘endcelldefine compiler

 

 

directives to tag module instances

 

 

as cell instances.

Enable pragma

-pragma

Parses pragmas contained in HDL

 

 

source files. This field is grayed out

 

 

when Enable lexical pragma

 

 

processing is selected.

Enable lexical

-lexpragma

Parses pragmas contained in HDL

pragma processing

 

source files and treatstranslate

 

 

off and translate on as if they

 

 

are Verilog ‘ifdef 0 and ‘endif

 

 

so that the code between them is

 

 

not included during compilation.

Disable memory

-nomempack

Prepares design units for access

packing

 

by the PLI routine tf_nodeinfo.

April 2004

103

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding ncvlog

Effect

 

Option

 

 

 

 

Compile digital

-ams

Omits the -ams command line

Verilog without

 

option when running ncvlog on files

"-ams" option

 

named verilog.v. If a file named

 

 

verilog.v is actually a link, the

 

 

decision to use or omit the -ams

 

 

option is based on the extension of

 

 

the name of the physical file that is

 

 

the target of the link.

Additional arguments

None

See Step 3, following.

 

 

 

3.Type any additional arguments that you want the Verilog-AMS compiler to use into the

Additional arguments field.

You must not specify a -log argument because the log is automatically written to the ncvlog.log file in the run directory.

Specifying Macros and Specifying Directories to be Searched

To set options that define macros and specify directories to be searched for include files,

April 2004

104

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Compiler – Verilog-AMS – Macros/Includes category to display the

Verilog-AMS Macros/Includes pane.

2.To define a macro,

a.Click Add next to the Macro Value column.

A default macro name appears in the Macro Name column.

b.Click the default macro name and change it as necessary.

For more information, see the information about the -define option in the “ncvlog Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

c.Click the corresponding Macro Value cell and type in the value, if any, that you want to use.

April 2004

105

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

If the value includes a space, enclose the value in quotation marks. For example, you might define a macro as follows:

3.To specify directories to be searched for include files,

a.Click Add next to the Include Directory table.

A default directory name appears in the Include Directory column.

b.Click the default directory name and change it to the actual directory to be searched.

The -incdir option is added to the ncvlog command. For example, you specify include_dir in the Include Directory list. When you compile a Verilog-AMS file, the ncvlog command generated by AMS Designer has the following:

ncvlog

-incdir include_dir

For more information, see the information about the -incdir option in the “ncvlog Command Options” section of the “Compiling Verilog Source Files with ncvlog” in the NC-Verilog Simulator Help.

Specifying Checks

To set options that control checks on Verilog-AMS modules,

April 2004

106

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Compiler – Verilog-AMS – Checks category to display the Verilog-AMS

Checks pane.

2.Select fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvlog Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

Enable IEEE 1364 lint

-ieee1364

Checks the source code for compatibility

checker

 

with the IEEE standard described in

 

 

IEEE-1364 Verilog Hardware

 

 

Description Language Reference

 

 

Manual.

Check for standard

-checktasks

Checks for the presence of any non-

system tasks

 

predefined system tasks or functions in

 

 

the source code.

 

 

 

Setting Options for Messages Generated by Compiling Verilog-AMS Modules

To set options that control the output of messages and errors,

April 2004

107

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Compiler – Verilog-AMS – Messages/Errors category to display the

Verilog-AMS Messages/Errors pane.

2.Select and fill in fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvlog

Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

Print informational

-messages

Prints informational messages as the

messages

 

compiler runs.

April 2004

108

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

Display runtime

-status

Prints statistics on memory and CPU

status

 

usage after compilation.

Suppress all

-neverwarn

Suppresses all warning messages.

warnings

 

 

Suppress specific

-nowarn

Suppresses warning messages that have

warnings

 

specified codes. If you enter more than

 

 

one code, separate them with commas or

 

 

spaces.

Suppress output to

-nostdout

Suppresses output to the screen but

screen

 

does not change what is written to the

 

 

log file.

Suppress pragma

-nopragmawarn

Suppresses warning messages related

warnings

 

to pragmas.

Suppress source line

-noline

Tells the compiler not to locate the

location information

 

source line of errors, potentially

on errors

 

improving performance.

 

 

 

Setting Options for the VHDL-AMS Compiler

To specify the behavior of the VHDL-AMS compiler,

1. Select the Compiler – VHDL-AMS category.

April 2004

109

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

AMS Designer displays the VHDL-AMS Compiler pane.

2.Select and fill in fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvhdl Command Options” section, in the “Compiling VHDL Source Files with ncvhdl” chapter of the NC-VHDL Simulator Help.

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

Maximum number of -errormax

Stops compilation if the number of errors

errors

 

reaches the specified maximum limit.

April 2004

110

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

 

 

Log file

-append_log,

Controls the generation of log files

 

-nolog

 

 

Update if needed

-update

Recompiles the design after design units,

 

 

source files, or compiler directives are

 

 

added, or when a design unit is changed

 

 

in a way that introduces a new cross-file

 

 

dependency.

 

 

Note: This field should be selected in

 

 

almost all circumstances. Not selecting

 

 

this field can produce timestamp

 

 

mismatches between entities and their

 

 

corresponding architectures.

Enable line debug

-linedebug

Enables support for setting line and

 

 

process breakpoints, and for single-

 

 

stepping through code.

Enable VITAL checks

-novitalcheck

Turns on VITAL compliance checking.

Enable relaxed VHDL

-relax

Relaxes the interpretation of some VHDL

interpretation

 

rules.

Enable pragma

-pragma

Parses pragmas contained in HDL

 

 

source files. This field is grayed out when

 

 

Enable lexical pragma processing is

 

 

selected.

Enable lexical

-lexpragma

Parses pragmas contained in HDL

pragma processing

 

source files and treatstranslate off

 

 

and translate on as if they are

 

 

Verilog ‘ifdef 0 and ‘endif so that

 

 

the code between them is not included

 

 

during compilation.

April 2004

111

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

Compile digital VHDL

-ams

Omits the -ams command line option

without "-ams" option

 

when running ncvhdl on files named

 

 

verilog.vhd. If a file named

 

 

verilog.vhd is actually a link, the

 

 

decision to use or omit the -ams option is

 

 

based on the extension of the name of

 

 

the physical file that is the target of the

 

 

link.

 

 

Using the -ams option for a VHDL

 

 

cellview forces ncvhdl to use the -v93

 

 

option also, whether or not the cellview

 

 

contains any analog features.

Enable VHDL 93

-v93

Enables the VHDL-93 features supported

features for digital

 

in this release. The -v93 option is used

VHDL

 

automatically whenever the -ams option

 

 

is used on a VHDL cellview.

Additional arguments

None

See Step 3, following.

 

 

 

3.Type any additional arguments that you want the VHDL compiler to use into the

Additional arguments field.

You must not specify a -logfile argument because the log is automatically written to the ncvhdl.log file in the run directory.

Setting Options for Messages Generated by Compiling VHDL-AMS Modules

To set options that control the output of messages and errors from the VHDL-AMS compiler,

April 2004

112

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Compiler – VHDL-AMS – Messages/Errors category to display the

VHDL-AMS Messages/Errors pane.

2.Select and fill in fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvhdl Command Options” section, in the “Compiling VHDL Source Files with ncvhdl” chapter of the NC-VHDL Simulator Help.

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

Print informational

-messages

Prints informational messages as the

messages

 

compiler runs.

Display runtime

-status

Prints statistics on memory and CPU

status

 

usage after compilation.

April 2004

113

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

Suppress all

-neverwarn

Suppresses all warning messages.

warnings

 

 

Suppress specific

-nowarn

Suppresses warning messages that have

warnings

 

specified codes. If you enter more than

 

 

one code, separate them with commas or

 

 

spaces.

Suppress output to

-nostdout

Suppresses printing of output to the

screen

 

screen but does not change what is

 

 

written to the log file.

Suppress pragma

-nopragmawarn

Suppresses warning messages related

warnings

 

to pragmas.

 

 

 

Setting Compiler Options from the CIW

The compiler options available from the CIW interface are organized in the following categories:

Category

Purpose

For information, see

Compiler

Specifies anhdl.var file to “Setting General Compiler

 

use and whether the -ams

Options” on page 115

 

option is used

 

 

Verilog-AMS

Controls how Verilog-AMS

“Setting Optionsorf the

 

 

modules are compiled

Verilog-AMS Compiler” on

 

 

page 116

Macros/Includes Defines macros used during compilation and directories to be searched for included source files

“Specifying Macros and Specifying Directories to be Searched” on page 118

Checks

Specifies the checks to be

“Specifying Checks” on

 

used on Verilog-AMS

page 119

 

modules

 

April 2004

114

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Category

Purpose

For information, see

Messages/Errors Controls the production and display of messages generated by compiling

Verilog-AMS modules

“Setting Optionsorf Messages Generated by Compiling Verilog-AMS Modules” on page 120

VHDL-AMS

Controls how VHDL modules

“Setting Optionsorf

 

are compiled

VHDL-AMS Modules” on

 

 

page 122

Messages/Errors Controls the production and display of messages generated by compiling VHDL-AMS modules

“Setting Optionsorf Messages Generated by Compiling VHDL-AMS Modules” on page 124

Setting General Compiler Options

To specify an hdl.var file to use,

1.Select Compiler in the Categories field. The associated option field appears.

2.Type the path and name of the hdl.var file into thehdl.var file field.

An hdl.var file that you specify here is used with the compilers, and also with the elaborator and the simulator if you run those tools.

Be sure that the path is fully qualified, either as an absolute path or with environment variables. Otherwise, because the compilers run in the directory from which you start the

April 2004

115

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

software but the elaborator and simulator run in the run directory, you might unexpectedly use different hdl.var files for different AMS tools.

Setting Options for the Verilog-AMS Compiler

To specify the behavior of the Verilog-AMS compiler,

1.Select Compiler – Verilog-AMS in the Categories field.

The associated option fields appear.

2.Fill in and select fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvlog

Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

Maximum number of

-errormax

Stops compilation if the number of errors

errors

 

reaches the specified maximum limit.

Log file

-append_log, Controls the generation of log files.

 

-nolog

 

April 2004

116

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

 

 

Update if needed

-update

Recompiles the design after design units,

 

 

source files, or compiler directives are

 

 

added, or if a design unit is changed in a

 

 

way that introduces a new cross-file

 

 

dependency.

Print verbose

-uptodate_

Prints the names of up-to-date modules

messages during

messages

that otherwise are not printed in the log

update

 

file.

Enable line debug

-linedebug

Enables support for setting line

 

 

breakpoints and for single-stepping

 

 

through code.

Mark cells with

-libcell

Inserts ‘celldefine and

‘celldefine

 

‘endcelldefine compiler directives to

 

 

tag module instances as cell instances.

Enable pragma

-pragma

Parses pragmas contained in HDL

 

 

source files. This field is grayed out when

 

 

Enable lexical pragma processing is

 

 

selected.

Enable lexical

-lexpragma

Parses pragmas contained in HDL

pragma processing

 

source files and treatstranslate off

 

 

and translate on as if they are

 

 

Verilog ‘ifdef 0 and ‘endif so that

 

 

the code between them is not included

 

 

during compilation.

Disable memory

-nomempack

Prepares design units for access by the

packing

 

PLI routine tf_nodeinfo.

Compile digital

-ams

Omits the -ams command line option

Verilog without

 

when running ncvlog on files named

"-ams" option

 

verilog.v. If a file namedverilog.v

 

 

is actually a link, the decision to use or

 

 

omit the -ams option is based on the

 

 

extension of the name of the physical file

 

 

that is the target of the link.

Additional arguments

None

See Step 3, following.

 

 

 

 

April 2004

117

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

3.Type any additional arguments that you want the Verilog-AMS compiler to use into the

Additional arguments field.

You must not specify a -log argument because the log is automatically written to the ncvlog.log file in the run directory.

Specifying Macros and Specifying Directories to be Searched

To set options that define macros and specify directories to be searched for include files,

1.Select the Compiler – Verilog-AMS – Macros/Includes in the Categories field.

The associated option fields appear.

2.To define a macro,

a.Type a name into the Name field.

b.Type the macro value, if any, into the Value field.

April 2004

118

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

If the value includes a space, enclose the value in quotation marks. For example, you might define a macro as follows:

c.Click the Add button for Macros.

The macro name and value appear in the table.

For more information about macros, see the information about the -define option in the “ncvlog Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

3.To specify a directory to be searched for include files,

a.Type the name of the directory into the Directory name field.

b.Click the nearby Add button.

The -incdir option is added to the ncvlog command. For example, you specify include_dir in the Directory name list. When you compile a Verilog-AMS file, the ncvlog command generated by AMS Designer has the following:

ncvlog

-incdir include_dir

For more information, see the information about the -incdir option in the “ncvlog Command Options” section of the “Compiling Verilog Source Files with ncvlog” in the

NC-Verilog Simulator Help.

Specifying Checks

To set options that control checks on Verilog-AMS modules,

1. Select Compiler – Verilog-AMS – Checks in the Categories field.

April 2004

119

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

The associated option fields appear.

2.Select fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvlog Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

Enable IEEE 1364 lint

-ieee1364

Checks the source code for compatibility

checker

 

with the IEEE standard described in

 

 

IEEE-1364 Verilog Hardware

 

 

Description Language Reference

 

 

Manual.

Check for standard

-checktasks

Checks for the presence of any non-

system tasks

 

predefined system tasks or functions in

 

 

the source code.

 

 

 

Setting Options for Messages Generated by Compiling Verilog-AMS Modules

To set options that control the output of messages and errors,

1. Select Compiler – Verilog-AMS – Messages/Errors in the Categories field.

April 2004

120

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

The associated option fields appear.

2.Select and fill in fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvlog

Command Options” section, in the “Compiling Verilog Source Files with ncvlog” chapter of the NC-Verilog Simulator Help.

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

 

Print informational

-messages

Prints informational messages as the

messages

 

compiler runs.

Display runtime

-status

Prints statistics on memory and CPU

status

 

usage after compilation.

Suppress all

-neverwarn

Suppresses all warning messages.

warnings

 

 

Suppress specific

-nowarn

Suppresses warning messages that have

warnings

 

specified codes. If you enter more than

 

 

one code, separate them with commas or

 

 

spaces.

Suppress output to

-nostdout

Suppresses output to the screen but

screen

 

does not change what is written to the

 

 

log file.

Suppress pragma

-nopragmawarn

Suppresses warning messages related

warnings

 

to pragmas.

April 2004

121

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvlog Option

 

 

 

 

Suppress source line -noline

Tells the compiler not to locate the

location information

 

source line of errors, potentially

on errors

 

improving performance.

 

 

 

Setting Options for VHDL-AMS Modules

To specify the behavior of the VHDL-AMS compiler,

1.Select Compiler – VHDL-AMS in the Categories field.

The associated option fields appear.

2. Select and fill in fields as necessary.

April 2004

122

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

The following table briefly describes the fields. For additional information, see the “ncvhdl

Command Options” section, in the “Compiling VHDL Source Files with ncvhdl” chapter of the NC-VHDL Simulator Help.

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

 

 

Maximum number of

-errormax

Stops compilation if the number of errors

errors

 

reaches the specified maximum limit.

Log file

-append_log,

Controls the generation of log files

 

-nolog

 

 

Update if needed

-update

Recompiles the design after design units,

 

 

source files, or compiler directives are

 

 

added, or when a design unit is changed

 

 

in a way that introduces a new cross-file

 

 

dependency.

Enable line debug

-linedebug

Enables support for setting line and

 

 

process breakpoints, and for single-

 

 

stepping through code.

Enable relaxed VHDL

-relax

Relaxes the interpretation of some VHDL

interpretation

 

rules.

Enable VITAL checks

-novitalcheck

Turns on VITAL compliance checking.

Enable pragma

-pragma

Parses pragmas contained in HDL

 

 

source files. This field is grayed out when

 

 

Enable lexical pragma processing is

 

 

selected.

Enable lexical

-lexpragma

Parses pragmas contained in HDL

pragma processing

 

source files and treatstranslate off

 

 

and translate on as if they are

 

 

Verilog ‘ifdef 0 and ‘endif so that

 

 

the code between them is not included

 

 

during compilation.

April 2004

123

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

Compile digital VHDL

-ams

Omits the -ams command line option

without "-ams" option

 

when running ncvhdl on files named

 

 

verilog.vhd. If a file named

 

 

verilog.vhd is actually a link, the

 

 

decision to use or omit the -ams option is

 

 

based on the extension of the name of

 

 

the physical file that is the target of the

 

 

link.

 

 

Using the -ams option for a VHDL

 

 

cellview forces ncvhdl to use the -v93

 

 

option also, whether or not the cellview

 

 

contains any analog features.

Enable VHDL 93

-v93

Enables the VHDL-93 features supported

features for digital

 

in this release.The -v93 option is used

VHDL

 

automatically whenever the -ams option

 

 

is used on a VHDL cellview.

Additional arguments

None

See Step 3, following.

 

 

 

3.Type any additional arguments that you want the VHDL-AMS compiler to use into the

Additional arguments field.

You must not specify a -logfile argument because the log is automatically written to the ncvhdl.log file in the run directory.

Setting Options for Messages Generated by Compiling VHDL-AMS Modules

To set options that control the output of messages and errors from the VHDL-AMS compiler,

1. Select Compiler – VHDL-AMS – Messages/Errors in the Categories field.

April 2004

124

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

The associated option fields appear.

2.Select and fill in fields as necessary.

The following table briefly describes the fields. For additional information, see the “ncvhdl Command Options” section, in the “Compiling VHDL Source Files with ncvhdl” chapter of the NC-VHDL Simulator Help.

Field

Corresponding

Effect

ncvhdl Option

 

 

 

 

 

Print informational

-messages

Prints informational messages as the

messages

 

compiler runs.

Display runtime

-status

Prints statistics on memory and CPU

status

 

usage after compilation.

Suppress all

-neverwarn

Suppresses all warning messages.

warnings

 

 

Suppress specific

-nowarn

Suppresses warning messages that have

warnings

 

specified codes. If you enter more than

 

 

one code, separate them with commas or

 

 

spaces.

Suppress output to

-nostdout

Suppresses printing of output to the

screen

 

screen but does not change what is

 

 

written to the log file.

Suppress pragma

-nopragmawarn

Suppresses warning messages related

warnings

 

to pragmas.

 

 

 

April 2004

125

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]