Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Variables for ams.env Files

ncvlogUseAddArgs

Controls whether the additional compiler arguments specified by thencvlogArguments variable are used on the ncvlog command.

Syntax

amsDirect.vlog ncvlogUseAddArgs boolean t | nil

Values

 

t

The additional compiler arguments specified by the

 

ncvlogArguments variable are used.

nil

The additional compiler arguments specified by the

 

ncvlogArguments variable are not used. This is the default.

April 2004

452

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

netClashFormat

Specifies the format to be used to map the names of nets that collide with names of other netlist constructs.

Syntax

amsDirect.vlog netClashFormat string "format"

Values

 

 

format

All characters, except those listed below, are printed exactly as

 

included in format. The following characters have the

 

indicated special meanings.

 

%b

Original name of the net

 

%%

Prints the % character

 

The default value of format is %b_netclash, which

 

produces a mapped name like nname_netclash for a net

 

originally named nname.

If the resulting name is illegal in Verilog-AMS, the name is mapped. If the mapped name clashes with the name of another object, the name undergoes collision mapping.

Example

amsDirect.vlog netClashFormat string "%b_nclash"

Tells AMS netlister to map clashing net names with a suffixed_nclash. For example, you have a net samp with a name that clashes with an instance named samp. The AMS netlister maps the net to the system-generated name samp_nclash.

April 2004

453

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

netlistAfterCdfChange

Controls netlist generation for the cellview when the CDF information for the cell is updated from the CDF editor.

Syntax

amsDirect.vlog netlistAfterCdfChange boolean t | nil

Values

 

t

Generates netlists for the eligible cellviews of the cell after CDF

 

information is updated (provided that no errors are found while

 

checking CDF data).

nil

Does not generate a netlist. This is the default.

Description

amsDirect.vlog netlistAfterCdfChange boolean t

Tells the AMS netlister to generate a Verilog-AMS netlist for the cell whose CDF is being updated. However, the netlister does not generate a netlist if checking the CDF information reveals any errors.

April 2004

454

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

netlistMode

Controls netlisting.

Syntax

amsDirect.prep netlistMode cyclic "none" | "incremental" | "all"

Values

 

none

Turns off netlisting.

incremental

Netlists CDBA cellviews in the hierarchy only if their HDL data is

 

not synchronized with their CDBA data. This is the default.

all

Netlists all CDBA cellviews in the hierarchy, regardless of

 

whether their HDL data is synchronized with their CDBA data.

Example

amsDirect.prep netlistMode cyclic "all"

Tells AMS Design Prep (working through the AMS netlister) to netlist all the cellviews that can be netlisted.

April 2004

455

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]