Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Variables for ams.env Files

headerText

Specifies the kind of header to be used at the beginning of netlists generated by AMS Design

Prep.

Syntax

amsDirect.vlog headerText cyclic "none" | "file" | "script"

Values

 

none

Specifies that the default header is to be used.

file

Specifies that the header of the netlist is to consist of the default

 

header followed by the text of a file. The name of the file

 

containing the text is specified by thetemplateFile variable.

 

For more information, see “templateFile” on page 551.

script

Specifies that the header of the netlist is to consist of the default

 

header followed by the text generated by running a script. The

 

name of the file containing the script is specified by the

 

templateScript variable. For more information, see

 

“templateScript” on page 552.

Example

amsDirect.vlog headerText cyclic "none"

Tells AMS Designer to insert the default header at the beginning of each generated netlist. As a result, each netlist begins with lines like the following.

//Verilog-AMS netlist generated by the AMS netlister, version 4.4.6.100.43.

//Cadence Design Systems, Inc.

April 2004

408

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

ieee1364

Checks the source code for compatibility with the IEEE standard described in IEEE-1364 Verilog Hardware Description Language Reference Manual.

Syntax

amsDirect.vlog ieee1364 boolean t | nil

Values

 

t

Checks the source code for compatibility with the IEEE standard

 

described in IEEE-1364 Verilog Hardware Description

 

Language Reference Manual.

nil

Does not check the source code for compatibility with the IEEE

 

standard. This is the default.

Example

amsDirect.vlog ieee1364 boolean t

Tells AMS Design Prep to compile Verilog files with the-ieee1364 option. As a result, the generated command might look like this.

ncvlog -ieee1364

April 2004

409

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

ifdefLanguageExtensions

Controls the netlisting of attributes.

Syntax

amsDirect.vlog ifdefLanguageExtensions boolean t | nil

Values

 

t

Generates `ifdef INCA clauses in the netlist for attribute

 

statements.

nil

Does not generate `ifdef INCA clauses. This is the default.

Description

If you plan to use a compiler that does not support the Cadence attribute statements, you can use this variable to enclose the statements in an `ifdef INCA clause. Note that this clause produces a Verilog-AMS netlist that is more difficult to read.

Example

You need to copy your netlists to a different location where they will be used in a purely text based flow without using configurations and the hierarchy editor. In this situation, the library bindings in the netlist need to be disabled.

With the ifdefLanguageExtensions variable set to nil, the netlist looks like this.

vsource #(.dc(3), .type("dc")) (*

integer library_binding = "analogLib"; *) V0 ( cds_globals.\vdd! , cds_globals.„nd! );

vsource #(.dc(-3), .type("dc")) (*

integer library_binding = "analogLib"; *) V1 ( cds_globals.\vss! , cds_globals.„nd! );

Setting the ifdefLanguageExtensions variable to t results in a netlist where the library bindings are enclosed in `ifdef INCA clauses, so that they can be turned off.

vsource #(.dc(3), .type("dc"))

‘ifdef INCA (* integer library_binding = "analogLib"; *) ‘endif V0 ( cds_globals.\vdd! , cds_globals.„nd! );

vsource #(.dc(-3), .type("dc"))

‘ifdef INCA (* integer library_binding = "analogLib"; *) ‘endif V1 ( cds_globals.\vss! , cds_globals.„nd! );

April 2004

410

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

incdir

Specifies directories to be searched for files specified by the‘include compiler directive.

Syntax

amsDirect.vlog incdir string "dirs_to_search"

Values

 

dirs_to_search

Directories to be searched for specified files. The format must be

 

as illustrated in the following example. The default is an empty

 

string.

Example

amsDirect.vlog incdir string "11-LevelOneDir11-LevelTwoDir"

Generates a command that includes two -incdir options.

ncvlog

-incdir LevelOneDir -incdir LevelTwoDir

April 2004

411

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]