Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Netlisting

If you do not specify a view, the AMS netlister operates on each view type specified by the amsEligibleViewTypes variable in the ams.env file. (The views operated on can be further tuned by specifying view names to process or exclude. For more information, see “Setting View Selection Options” on page 90.)

5.Select what you want the AMS netlister to do with the specified cellviews in the current run. Choose incremental netlisting if you want to netlist only new or changed cellviews.

Note that changes you make here are for this run only and do not affect the settings in the Options menu.

6.Click OK to begin the run.

Netlisting of Cells in Response to Changes in CDF

In this method, the AMS netlister runs when you use the CDF editor to update the CDF information for a cell (provided that the netlistAfterCdfChange variable in the ams.env file is set tot). The amsEligibleViewTypes variable in the ams.env file specifies which cellviews of the cell are eligible for netlisting.

For more information, see “netlistAfterCdfChange” on page 454 and“amsEligibleViewTypes” on page 380.

Preparing Existing Analog Primitive Libraries for Netlisting

Cadence netlisters format instances of analog devices according to the instructions specified in the Simulation Information (simInfo) section of the device’s CDF. The simInfo is composed of one or more sets of directions, parameters, and terminal names, with each set representing the formatting instructions for that device for a given simulator. To support the AMS simulator, the simInfo for analog primitives now contains a new section called ams.

Cadence provides a conversion tool that helps you generate the new ams simInfo from the corresponding spectre information. For more information, see Appendix B, “Updating Legacy SimInfo for Analog Primitives.”

Specifying the Behavior of the Netlister and Compilers

By default, the behavior of the AMS netlister and compilers is controlled by variables stored in files namedams.env. These variables tell the netlister and compilers what they should do in general (for example, check the design or check and netlist the design) and what to do in certain situations (if the netlister encounters sparse buses or conflicting bus ranges).

April 2004

74

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

See Appendix A, “Variables for ams.env Files,” for detailed descriptions of the variables.

Opening the AMS Options Windows

There are two main graphical interfaces that you can use to set the ams.env values:

Through AMS – Options in the Cadence hierarchy editor

For guidance on using this interface, see “Opening the Hierarchy Editor AMS Options Window” on page 75.

Through Tools – AMS – Options in the CIW

For guidance on using this interface, see “Opening the CIW AMS Options Window” on page 86.

You can set the values of most variables using either of these interfaces. They both operate on the same ams.env file so changes that you make from one interface are reflected in the other. However, variables that control what happens when you check and save from a schematic, and variables that specify the views that are eligible for netlisting are available only in the CIW interface.

Opening the Hierarchy Editor AMS Options Window

To review or change the current options from the Cadence hierarchy editor,

1.Start the hierarchy editor, install the AMS menu item, and select a run directory.

For guidance, see “Preparing to Use AMS Designer from the Hierarchy Editor” on page 62.

2.Choose AMS – Options and then one of the subchoices.

April 2004

75

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

The AMS Options window appears.

The Categories pane of the window shows the options categories: Netlister, Compiler,

Elaborator, Simulator, Global Design Data, and Waveforms.

For guidance on setting these options

Netlister

See

“Setting Netlister Options from the Hierarchy Editor” on page 77

Compiler

“Setting Compiler Options” on page 98

Elaborator

“Setting Elaborator Options” on page 232

Simulator

“Setting Simulator Options” on page 247

Global Design Data

“Setting Optionsorf Global Design Data” on page 211

 

 

 

 

Because the Global Design Data options affect

 

AMS Design Prep, rather than the AMS netlister, there

 

is no corresponding graphical interface available from

 

the CIW.

Waveforms

“Setting Waveform Selection Options” on page 274

Note that if you click OK or Apply after selecting settings in the AMS Options window, the revised options are immediately saved to the AMS environment file,ams.env, and become the default values. If you edit the ams.env file outside of the Cadence hierarchy editor, the edited settings appear in the AMS Options window the next time AMS reads the ams.env file.

April 2004

76

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Setting Netlister Options from the Hierarchy Editor

The netlister options available from the hierarchy editor interface are organized in the following categories:

Category

Purpose

For information, see

Netlister

Controls general netlister

“Setting General Netlister Options”

 

options

on page 77

Verilog-AMS

Controls how language

“Setting erilogV-AMS Options for

 

 

extensions are handled

the Netlister” on page 79

CDF

Specifies default values for “Specifying Default Values for CDF

Parameter

CDF parameters

Parameters” on page 80

Defaults

 

 

 

Template

Controls what information

“SettingemplateT Header and File

 

and files are included in

Include Options” on page 81

 

netlist templates

 

 

Compatibility

Controls options that allow

“Setting Compatibility Options” on

 

you to retain data

page 84

 

compatibility with older

 

 

 

netlisters, such as the

 

 

 

Cadence analog design

 

 

 

environment or Verilog

 

 

 

Integ

 

 

Setting General Netlister Options

With the options in this category, you can set the maximum number of error messages displayed in the log file when you netlist, and you can specify the kind of scaling to be used for parameter values.

To display the Netlister pane,

April 2004

77

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Select the Netlister category.

Setting the Maximum Number of Displayed Error Messages

To set the maximum number of displayed error messages,

Click and type in the Maximum number of errors field or use the up and down arrow keys to set the maximum number of errors the AMS netlister can encounter before it stops processing the design.

For information on the corresponding ams.env variable, see “amsMaxErrors” on page 385.

Note: If any errors are found, no netlist is generated and any existing netlist is removed. This behavior ensures that you do not inadvertently simulate an out-of-date netlist.

Requesting More Numerous and More Extensive Messages

To increase the amount of information produced as messages, as you might want to do when you are debugging a problem,

Select the Print informational messages option.

For information on the corresponding ams.env variable, see “amsVerbose” on page 387.

Setting the Scaling Notation for Parameter Values

You can control the netlisting of scaling factors for parameter values by expanding the scaling factors as desired. To expand scaling factor suffixes,

April 2004

78

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Use scaling notation for parameter values option.

2.Set the scaling notation that you want to use for parameter values,

Select Scientific to expand scaling factor suffixes in scientific notation.

For example, this choice expands 5.46M as 5.46e6.

Select Decimal to expand scaling factor suffixes in decimal notation.

For example, this choice expands 5.46M as 5,460,000.

For information on the corresponding ams.env variable and a list of scaling factor suffixes supported by various target simulators, see “amsExpScalingFactor” on page 382.

Setting Verilog-AMS Options for the Netlister

This pane allows you to set an option that can make AMS Designer netlists compatible with simulators that cannot handle AMS language extensions. The AMS Designer netlister enables this capability by enclosing language extensions in conditional statements. With these conditional statements in place, you can control when language extensions are active.

To set options for language extensions,

1. Select the Netlister – Verilog-AMS category to display the Verilog-AMS pane.

2.Select the Conditionally include language extensions option if you want extended language constructs to be conditionally included.

April 2004

79

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

If you select this option, the netlister sets off language extensions with `ifdef INCA directives, which facilitates using the netlist in simulators that do not support the extensions.

For information on the corresponding ams.env variable, see “ifdefLanguageExtensions” on page 410.

Specifying Default Values for CDF Parameters

With the options in this category, you can specify default values for CDF parameters.

To display the CDF Parameter Defaults pane,

Select the Netlister – Verilog-AMS – CDF Parameter Defaults category to display the CDF Parameter Defaults pane.

Specifying Default Values for Specific CDF Parameters

To specify a default value for a specific CDF parameter,

1. Type the name of the parameter in the Name field.

April 2004

80

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

2.In the Type field, selectinteger or real to indicate the type of the parameter.

3.Type a default value into the Value field.

4.Click Add.

The newly specified default value appears in the list below.

The AMS netlister uses this default value when the specified parameter does not have a default value in the CDBA data.

For information on the corresponding ams.env variable, see “paramDefVals” on page 464.

To remove the default value for a parameter,

Select the parameter in the list and then click Remove.

Specifying a Global Default Value for CDF Parameters

To specify a global default parameter value,

Type a default value into the Value field of theGlobal Default Parameter Value section.

The AMS netlister uses this default value for any parameter in the CDBA data that does not otherwise have a default value.

For information on the corresponding ams.env variable, see “paramGlobalDefVal” on page 465.

Setting Template Header and File Include Options

With the options in this category, you can specify headers for AMS netlists and you can specify the names of files to be included by‘include directives.

To display the Template pane,

April 2004

81

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Select the Netlister – Verilog-AMS – Template category to display the Template pane.

Specifying the Header to be Used in AMS Netlists

To specify the header to be used in AMS netlists,

1.In the Template Header area, select the method you want to use to specify template headers.

For information on the corresponding ams.env variable, see “headerTxt” on page 408

Default

April 2004

82

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

Inserts the default header at the top of each generated netlist.

For example, choosing Default inserts the following text into netlists.

//Verilog-AMS netlist generated by the AMS netlister, version 4.4.6.100.43.

//Cadence Design Systems, Inc.

Include the text from this file

Inserts the default header and the contents of the specified file at the top of each generated netlist.

For example, choosing Include the text from this file and specifying a file containing the following text

//Module produced by

//ASIC Team: Ocelot

//San Jose Development Center

inserts the following at the top of each generated netlist.

//Verilog-AMS netlist generated by the AMS netlister, version 4.4.6.100.43.

//Cadence Design Systems, Inc.

//Module produced by

//ASIC Team: Ocelot

//San Jose Development Center

Include the output of this script

Inserts the default header and the results of running the specified script at the top of each generated netlist.

For example, Choosing Include the output of this script and specifying a file containing the following script

echo ’// Module produced by:’ echo ’// ASIC Interactive, Ltd.’ printf ’// (c) ’

date ’+DATE: %m/%d/%y%n’

inserts the following at the top of each generated netlist.

//Verilog-AMS netlist generated by the AMS netlister, version 4.4.6.100.43.

//Cadence Design Systems, Inc.

//Module produced by:

//ASIC Interactive, Ltd.

//(c) DATE: 10/10/01

2.If you select either of the latter two choices in the previous step, specify the path and filename of the text file or script file.

Relative paths are resolved with respect to the directory where you started the AMS software.

April 2004

83

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

For information on the corresponding ams.env variables, see “headerTxt” on page 408, “templateFile” on page 551, and“templateScript” on page 552.

Specifying Files to be Included in AMS Netlists

To specify files to include in the netlist by‘include directives,

1.Type the name of the file to include in theFilename field.

The name that you enter appears verbatim in the netlist.

For information on the corresponding ams.env variable, see “includeFiles” on page 412.

2.Click Add to add the filename to the list of filenames displayed below theFilename field.

The newly added file is included, with a‘include directive, in every netlist. To control which file of that name is included, you can use theInclude Directory table accessed by choosing Compiler – Verilog-AMS – Macros/Includes in the AMS Options window. For guidance, see “Specifying Macros and Specifying Directories to be Searched” on page 104.

3.To remove a file from the list, select the filename, and then clickRemove.

4.If necessary, move a filename up or down in the list by clicking the up and down arrows.

Files are included in the netlist in the order that they appear in the list. The order is important if you have files that use declarations in another file. For example,File2if

uses a declaration from File1, you must ensure that File1 is above File2 in the list.

Setting Compatibility Options

If you have the following design exceptions in your cellview, the AMS netlister, by default, either issues a warning or does not create a netlist.

Exception

For an explanation of the exception and a description of the

corresponding ams.env variable, see

 

Illegal identifiers

“allowIllegalIdentifiers” on page 370

Name collisions

“allowNameCollisions” on page 372

Conflicting bus ranges

“allowDeviantBuses” on page 368

Sparse buses

“allowSparseBuses” on page 374

To override this default behavior,

April 2004

84

Product Version 5.3

Virtuoso AMS Environment User Guide

Netlisting

1.Select the Netlister – Verilog-AMS – Compatibility category to display the

Compatibility pane.

2.Select the settings you want for each of the exceptions. Each exception has the following possible settings:

No – Print Errors: The AMS netlister issues an error if the netlister encounters the design exception. No netlist is produced.

Yes – Print Warnings: The AMS netlister issues a warning if the netlister encounters the design exception. Netlisting continues after the netlister makes necessary changes.

Yes – Silently: The AMS netlister continues netlisting if it encounters the design exception, after making changes that allow netlisting to continue. The AMS netlister does not issue a warning.

If you select Yes – Print Warnings or Yes – Silently, the AMS netlister makes the following changes when it encounters a design exception.

Illegal identifiers: Maps non-compliant identifiers to names that are legal in the target language. The associated warning, if issued, tells you how the name is mapped.

Name collisions: Maps non-compliant names to system-generated names that are legal in the target language.

Conflicting bus ranges: Netlisting continues if it is possible to create a valid netlist.

The generated netlist is likely to be less readable than one created from compliant

April 2004

85

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]