Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

Variables for ams.env Files

templateScript

Specifies a file whose contents are a script. The results produced when the script runs are to be incorporated into the header of newly generated netlists.

Syntax

amsDirect.vlog templateScript string "script_file"

Values

 

script_file

Specifies the path and filename of a script file. The results

 

produced when the script runs are to be used in netlist headers.

 

The default is an empty string. The results are incorporated into

 

the netlist header only when the headerText variable has the

 

value "script". For more information, see “headerTxt” on

 

page 408.

Example

 

Specifying the variable

 

amsDirect.vlog templateScript string "./CRheader"

where the file namedCRheader contains the following script

echo ’// Module produced by:’ echo ’// ASIC Interactive, Ltd.’ printf ’// (c) ’

date ’+DATE: %m/%d/%y%n’

inserts lines similar to the following at the top of each newly generated netlist.

//Verilog-AMS netlist generated by the AMS netlister, version 4.4.6.100.43.

//Cadence Design Systems, Inc.

//Module produced by:

//ASIC Interactive, Ltd.

//(c) DATE: 10/10/01

April 2004

552

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

timescale

Specifies the default timescale for Verilog modules.

Syntax

amsDirect.prep timescale string "time_unit/time_precision"

Values

 

time_unit

The units of time to use. The default is 1ns.

time_precision

The time precision required. The default is 1ns.

Example

amsDirect.prep timescale string "2ns/2ns"

Tells the simulator to use 2ns as the basic unit of time and to calculate time values with a precision of 2ns.

April 2004

553

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

update

Recompiles the design after design units, source files, or compiler directives are added, or if a design unit is changed in a way that introduces a new cross-file dependency.

Syntax

amsDirect.vlog update boolean t | nil

Values

t

This is the default.

nil

April 2004

554

Product Version 5.3

Virtuoso AMS Environment User Guide

Variables for ams.env Files

use5xForVHDL

Controls whether configurations apply to VHDL as well as Verilog-AMS.

Syntax

amsDirect.prep use5xForVHDL boolean t | nil

Values

 

t

Assumes that configurations apply to VHDL as well as

 

Verilog-AMS. This is the default.

nil

Assumes that configurations do not apply to VHDL.

Description

If configurations apply to VHDL, the configurations take precedence over VHDL default binding and other searches. For more information, see the “-USe5x4vhdl Option” section of chapter 7, in the Virtuoso AMS Simulator User Guide.

April 2004

555

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]