Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
AMS.pdf
Скачиваний:
70
Добавлен:
05.06.2015
Размер:
2.68 Mб
Скачать

Virtuoso AMS Environment User Guide

12

Using the amsdesigner Command

The amsdesigner command allows you to run AMS Designer from the command line or from a script. The command includes options for netlisting, compiling, elaborating, and simulating.

amsdesigner_command ::=

 

amsdesigner [-help | -version]

|

amsdesigner -lib libName -cell cellName -view viewName

 

action_option {action_option}

 

[-log logFileName]

 

[-cdslib filePath]

 

[-cdsglobals overwriteEdits | retainEdits]

action_option ::=

 

-netlist incremental | all | none

|

-compile whenNetlist | all | none

|

-elaborate

|

-simulate

The following table describes the amsdesigner command options and values.

amsdesigner Option and

Effect

Value

 

 

 

 

 

-LIb libName

Specifies the library containing the configuration that you

 

want to process.

-CEll cellName

Specifies the cell containing the configuration that you want

 

to process.

-VIew viewName

Specifies the cellview name of the configuration that you

 

want to process. The amsdesigner command opens this

 

configuration in read-only mode.

April 2004

295

Product Version 5.3

Virtuoso AMS Environment User Guide

Using the amsdesigner Command

amsdesigner Option and

Effect

Value

 

 

 

-LOg logFileName

Tells the amsdesigner tool to write messages to

 

logFileName. Default: ./amsdesigner.log

 

If logFileName is an absolute path, the log file is

 

written to logFileName.

 

If logFileName is a relative path, logFileName is

 

placed in a location that is relative to the current

 

directory.

-CDSLib filePath

Specifies a cdslib file to load. Default:./cds.lib

-CDSGlobals

You can omit the -CDSGlobals option if the cds_globals

 

module has not been edited by hand. If the cds_globals

 

module has been edited by hand, you must use the

 

-CDSGlobals option and you must specify a value for the

 

option.

overwriteEdits

Tells the amsdesigner tool to regenerate and overwrite the

 

cds_globals module as necessary, even if the module

 

has been edited by hand.

retainEdits

Tells the amsdesigner tool not to overwrite a hand-edited

 

cds_globals module. However, the retainEdits value

 

allows the tool to overwrite the cds_globals module if the

 

module has not been edited by hand.

-Help

Returns a brief description of the amsdesigner command

 

and its options.

-VERSion

Returns version information, including the versions of the

 

amsdesigner, hierarchy editor, amsdirect, and ncvlog tools

 

and the versions of input and output files used by the

 

hierarchy editor.

-Netlist

 

incremental

Tells the amsdesigner tool to produce Verilog-AMS netlists

 

for only new or revised cellviews.

all

Tells the amsdesigner tool to netlist all cellviews in the

 

configuration, whether they have changed since the

 

previous netlisting or not.

none

Turns off netlisting for all cellviews. This is the default value

 

when the -Netlist option is not specified.

April 2004

296

Product Version 5.3

Virtuoso AMS Environment User Guide

Using the amsdesigner Command

amsdesigner Option and

Effect

Value

 

 

 

-COmpile

 

whenNetlist

Tells the amsdesigner tool to compile only cellviews

 

netlisted in this run.

all

Tells the amsdesigner tool to compile all cellviews in the

 

configuration, whether netlisted in this run or not.

none

Turns off compilation for all cellviews. This is the default

 

value when the -COmpile option is not specified.

-Elaborate

Tells the amsdesigner tool to elaborate the design.

-Simulate

Tells the amsdesigner tool to simulate the design.

 

 

The amsdesigner command is intended for rerunning designs that have been previously simulated with the AMS environment. The amsdesigner command uses setup information that cannot be entered as options on the command line. Before you can run the amsdesigner command, you must provide that setup information by using the windows in the AMS environment. To ensure that the setup information is usable, the run directory and input files used during the AMS environment simulation must then remain unchanged for runs of the amsdesigner command.

Note that it is possible to specify combinations of action options for the amsdesigner command that do not produce usable results. For example, if you specify that netlists are to be generated but not compiled, elaboration fails because the expected new netlists are not found.

Examples

The following command netlists, compiles, elaborates, and simulates the whole design.

amsdesigner -lib mylib -cell top -view config -netlist all -compile all -elaborate -simulate

The following command netlists the cellviews in the design that have been revised, then compiles just those newly netlisted cellviews. The design is neither elaborated nor simulated.

amsdesigner -lib amsLib -cell top -view config -netlist incremental -compile whenNetlist

The following command returns the versions of the tools and files used by theamsdesigner command and then exits. If you need to communicate with Cadence, you might use a command like this to obtain useful background information.

April 2004

297

Product Version 5.3

Virtuoso AMS Environment User Guide

Using the amsdesigner Command

amsdesigner -version

The returned information includes information about the tools and files used by the amsdesigner command.

@(#)$CDS: amsdesigner 5.0.0 07/09/2003 22:25 (cds12107) $

Tool:

cdsHierEditor

05.01.000-b005

Input:

expand.cfg

04.04.003

Input:

expand.cfg

05.00.000

Input:

pc.db

01.00

 

Output:

expand.cfg

05.00.000

Output:

Verilog

1364-1995

Output:

VHDL

1076-1993

@(#)$CDS: amsdirect version 5.0.0 07/10/2003 15:11 (cds12107) $ ncvlog: v04.00.(s019)

April 2004

298

Product Version 5.3

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]