Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
VAMS-LRM-2-3-1.pdf
Скачиваний:
43
Добавлен:
05.06.2015
Размер:
3.73 Mб
Скачать

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

5. Analog behavior

5.1 Overview

The description of an analog behavior consists of setting up contributions for various signals under certain procedural or timing control. This section describes an analog procedural block, analog signals, contribution statements, procedural control statements, and analog timing control functions.

5.2 Analog procedural block

Discrete time behavioral definitions within IEEE std 1364-2005 Verilog HDL are encapsulated within the initial and always procedural blocks. Every initial and always block starts a separate concurrent activity flow. For continuous time simulation, the behavioral description is encapsulated within the analog procedural block. The syntax for analog block is shown in Syntax 5-1.

analog_construct ::=

// from A.6.2

analog analog_statement

 

| analog initial analog_function_statement

// from A.6.4

analog_statement ::=

{ attribute_instance } analog_loop_generate_statement

 

| { attribute_instance } analog_loop_statement

 

| { attribute_instance } analog_case_statement

 

| { attribute_instance } analog_conditional_statement

 

| { attribute_instance } analog_procedural_assignment

 

| { attribute_instance } analog_seq_block

 

| { attribute_instance } analog_system_task_enable

 

| { attribute_instance } contribution_statement

 

| { attribute_instance } indirect_contribution_statement

 

| { attribute_instance } analog_event_control_statement

 

analog_statement_or_null ::=

 

analog_statement

 

| { attribute_instance } ;

 

 

 

Syntax 5-1—Syntax for analog procedural block

 

The analog procedural block defines the behavior as a procedural sequence of statements. The conditional and looping constructs are available for defining behaviors within the analog procedural block. Because the description is a continuous-time behavioral description, no blocking event control statements (such as blocking delays, blocking events, or waits) are supported.

All the statements within the analog block shall be executed sequentially at a given point of time, however the effects on the analog variables, nets, and branches contained in various modules in a design are considered concurrently. Analog blocks shall be executed at every point in a simulation. Multiple analog blocks can also be used within a module declaration. Refer section 7.1 for more details on multiple analog blocks.

5.2.1 Analog initial block

An analog initial block is a special analog (procedural) block, beginning with the keywords analog initial, for simulation initialization purposes.

Copyright © 2009 Accellera Organization, Inc.

88

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]