Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
VAMS-LRM-2-3-1.pdf
Скачиваний:
43
Добавлен:
05.06.2015
Размер:
3.73 Mб
Скачать

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

A.10 Details

1)Function statements are limited by the rules of 4.7.1.

2)Embedded spaces are illegal.

3)A simple_identifier shall start with an alpha or underscore ( _ ) character, shall have at least one character, and shall not have any spaces.

4)The $ character in a system_function_identifier or system_task_identifier shall not be followed by white_space. A system_function_identifier or system_task_identifier shall not be escaped.

5)End of file.

355

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

Annex B

(normative)

List of keywords

Keywords are predefined nonescaped identifiers that define Verilog-AMS language constructs. An escaped identifier shall not be treated as a keyword.

Copyright © 2009 Accellera Organization, Inc.

356

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

above

else

large

sin

abs

end

last_crossing

sinh

absdelay

endcase

liblist

showcancelled

abstol

endconfig

library

signed

access

endconnectrules

limexp

slew

acos

enddiscipline

ln

small

acosh

endfunction

localparam

specify

ac_stim

endgenerate

log

specparam

aliasparam

endmodule

macromodule

split

always

endnature

max

sqrt

analog

endparamset

medium

string

analysis

endprimitive

merged

strong0

and

endspecify

min

strong1

asin

endtable

module

supply0

asinh

endtask

nand

supply1

assert

event

nature

table

assign

exclude

negedge

tan

atan

exp

net_resolution

tanh

atan2

final_step

nmos

task

atanh

flicker_noise

noise_table

time

automatic

floor

nor

timer

begin

flow

noshowcancelled

tran

branch

for

not

tranif0

buf

force

notif0

tranif1

bufif0

forever

notif1

transition

bufif1

fork

or

tri

case

from

output

tri0

casex

function

parameter

tri1

casez

generate

paramset

triand

ceil

genvar

pmos

trior

cell

ground

posedge

trireg

cmos

highz0

potential

units

config

highz1

pow

unsigned

connect

hypot

primitive

use

connectmodule

idt

pull0

uwire

connectrules

idtmod

pull1

vectored

continuous

idt_nature

pulldown

wait

cos

if

pullup

wand

cosh

ifnone

pulsestyle_onevent

weak0

cross

incdir

pulsestyle_ondetect

weak1

ddt

include

rcmos

while

ddt_nature

inf

real

white_noise

ddx

initial

realtime

wire

deassign

initial_step

reg

wor

default

inout

release

wreal

defparam

input

repeat

xnor

design

instance

resolveto

xor

disable

integer

rnmos

zi_nd

discipline

join

rpmos

zi_np

discrete

laplace_nd

rtran

zi_zd

domain

laplace_np

rtranif0

zi_zp

driver_update

laplace_zd

rtranif1

 

edge

laplace_zp

scalared

 

357

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]