Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
virtuoso schematic composer_5.0.pdf
Скачиваний:
97
Добавлен:
05.06.2015
Размер:
3.8 Mб
Скачать

Virtuoso® Schematic Composer User

Guide

Product Version 5.0

October 2002

1990-2002 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America.

Cadence Design Systems, Inc., 555 River Oaks Parkway, San Jose, CA 95134, USA

Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document are attributed to Cadence with the appropriate symbol. For queries regarding Cadence’s trademarks, contact the corporate legal department at the address shown above or call 1-800-862-4522.

All other trademarks are the property of their respective holders.

Restricted Print Permission: This publication is protected by copyright and any unauthorized use of this publication may violate copyright, trademark, and other laws. Except as specified in this permission statement, this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence. This statement grants you permission to print one (1) hard copy of this publication subject to the following conditions:

1.The publication may be used solely for personal, informational, and noncommercial purposes;

2.The publication may not be modified in any way;

3.Any copy of the publication or portion thereof must include all original copyright, trademark, and other proprietary notices and this permission statement; and

4.Cadence reserves the right to revoke this authorization at any time, and any such use shall be discontinued immediately upon written notice from Cadence.

Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence. The information contained herein is the proprietary and confidential information of Cadence or its licensors, and is supplied subject to, and may be used only by Cadence’s customer in accordance with, a written agreement between Cadence and its customer. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights, nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information.

Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq. or its successor.

Virtuoso Schematic Composer User Guide

Contents

Preface ..........................................................................................................................

31

Related Documents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

32

Typographic and Syntax Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

32

1

 

Getting Started . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

35

Starting the Schematic Composer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

36

Using the Command Interpreter Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

37

Working with Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

37

Opening a New Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

38

Opening an Existing Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

39

Using the Schematic Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

40

Using the Symbol Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

41

Making Designs Editable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

42

Making Designs Read Only . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

42

Saving Your Edits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

43

Closing Editor Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

44

Quitting the Schematic Composer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

45

Working with Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

46

Database Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

47

Design Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

47

Reference Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

48

Library Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

48

Using Menus and the Icon Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

48

Using Pull-Down Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

49

Using Pop-Up Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

50

Using Object-Sensitive Menus (OSMs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

50

Using the Icon Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

52

Working with Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

53

Using Command Prompts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

54

Canceling a Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

54

October 2002

3

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Undoing a Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. 54

Redoing a Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

55

Displaying a Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

55

Using Form Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

55

Using Form Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

56

Quick Reference Bindkey Charts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

57

Quick Reference Bindkey Chart — Schematic Editor . . . . . . . . . . . . . . . . . . . . . . . .

57

Quick Reference Bindkey Chart — Symbol Editor . . . . . . . . . . . . . . . . . . . . . . . . . . .

58

Quick Reference Bindkey Chart — Mouse Buttons . . . . . . . . . . . . . . . . . . . . . . . . . .

59

Quick Reference Bindkey Chart — Gener al Bindkeys . . . . . . . . . . . . . . . . . . . . . . . .

60

Selecting Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

60

Dynamic Highlighting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

61

Using the Mouse to Select Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

61

Extending the Selection Area of a Net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

62

Selecting One Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

63

Selecting Multiple Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

63

Selecting Parts of Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

65

Selecting Wires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

65

Selecting a Wire Name and Its Associated Wire Segments . . . . . . . . . . . . . . . . . . . .

66

Selecting a Pin and Its Associated Wire Segments . . . . . . . . . . . . . . . . . . . . . . . . . .

67

Selecting an Instance and Its Associated Wire Segments . . . . . . . . . . . . . . . . . . . . .

67

Deselecting Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

68

Working with Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

69

Zooming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

70

Panning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

72

Redrawing a Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

73

Viewing the Same Cell in Two Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

73

Saving View Area Settings in Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

73

Restoring View Area Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

74

Using the Library Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

75

Accessing and Using the Library Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

75

Changing the Browser Type Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

75

Using the Library Browser to Initialize Form Fields . . . . . . . . . . . . . . . . . . . . . . . . . .

76

Using the Component Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

78

Accessing and Using the Component Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

78

Changing the Browser Type Option to the Component Browser . . . . . . . . . . . . . . . .

78

October 2002

4

Product Version 5.0

Virtuoso Schematic Composer User Guide

Opening the Component Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

79

Opening the Add Instance Form Instead of the Component Browser . . . . . . . . . . . .

80

Listing Components from Several Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

81

Changing the Component Browser Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

82

2

 

Understanding Connectivity and Naming Conventions . . . . .

. 85

Connectivity with Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

86

Instance Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

87

System-Generated Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

88

User-Defined Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

88

Iterated Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

89

Wire Connections and Wire Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

91

Wire-to-Wire Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

92

Wire-to-Pin Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

93

Wire-by-Name Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

95

Wire-to-Iterated Instance Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

95

Global Net Name Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

98

Multiple-Bit Wire Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

99

Tapping Multiple Bits of a Bundle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

100

Tapping Multiple Bits of a Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

101

Tapping Wire Intersections by Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

103

Designating Tap Size and Bit Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

103

System-Generated Net Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

104

Multiple-Bit Wire Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

105

Using Vector Expressions in Multiple Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

106

Evaluating Vector Expressions in Multiple-Bit Wire Names . . . . . . . . . . . . . . . . . . .

106

Using Prefix Repeat Operators in Multiple-Bit Wire Names . . . . . . . . . . . . . . . . . . .

106

Using Suffix Repeat Operators in Multiple-Bit Wire Names . . . . . . . . . . . . . . . . . . .

107

Pin Connections and Pin Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

107

Hierarchical Pin Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

109

Offsheet Pin Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

109

Bus Pin Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

109

Pin-to-Pin Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

110

Tapping Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

110

October 2002

5

Product Version 5.0

Virtuoso Schematic Composer User Guide

Patchcord Connections and Patchcord Naming Conventions . . . . . . . . . . . . . . . . . . . .

111

Naming Single and Multiple Bits Using Patchcords . . . . . . . . . . . . . . . . . . . . . . . . .

112

Naming Multiple Nets Using Patchcords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

113

Inherited Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

114

Inherited Connections in a Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

116

Defining Inherited Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

117

The Syntax of an Inherited Net Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

118

How Net Expressions Evaluate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

118

Sample Net Expression Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

121

Inherited Connections Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

121

Analyzing Net Expression Property Names and Evaluated Names . . . . . . . . . . . . .

122

Summary of Naming Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

122

3

 

Creating Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

127

Getting Started with Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

128

Adding Instances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

128

What Is an Instance? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

130

Methods of Adding an Instance to Your Design . . . . . . . . . . . . . . . . . . . . . . . . . . . .

130

Adding Instances One by One . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

131

Adding Instances by Rows and Columns (an Array) . . . . . . . . . . . . . . . . . . . . . . . .

133

Adding Instances Using an Iterative Expression . . . . . . . . . . . . . . . . . . . . . . . . . . .

136

Changing the Default Instance Name Prefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

138

Editing Instance Masters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

138

Specifying the View to Use While Placing the Instance . . . . . . . . . . . . . . . . . . . . . .

139

Changing the View after an Instance Is Already Placed . . . . . . . . . . . . . . . . . . . . . .

139

Renumbering Instances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

139

Adding Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

141

Adding Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

143

Adding Wires and Pins to Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

145

Changing the Block Pin Direction Rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

145

Changing the Direction or Name of a Single Block Pin . . . . . . . . . . . . . . . . . . . . . .

147

Changing the Names and Directions of Multiple Block Pins . . . . . . . . . . . . . . . . . . .

148

Deleting Block Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

150

Disabling Automatic Block Pin Creation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

150

October 2002

6

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Adding Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

151

Adding Schematic and Offsheet Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

152

Showing Pin Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

153

Adding Wires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

154

Adding Narrow or Wide Wires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

155

Using Wire Snap and Gravity Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

155

Using Wire Draw, Width, and Route Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

155

Using Wire Flight Lines Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

156

Routing Flight Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

157

Manipulating Wires with Only the Mouse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

158

Adding Wires to Schematic Instance Pins using SKILL . . . . . . . . . . . . . . . . . . . . . .

158

Adding Wire Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

159

Naming a Wire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

160

Adding Wire Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

160

Adding Multiple Wire Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

163

Verifying Invisible Wire Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

165

Using Net Name Prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

165

Adding Net Expression Labels to Create an Inherited Connection . . . . . . . . . . . . . . . .

167

Adding a Net Expression Label to a Wire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

168

Adding an Inherited Supply Symbol to a Wire . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

169

Editing a Net Expression Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

169

Changing the Net Expression Label Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

170

Deleting a Net Expression Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

172

Adding netSet Properties to Create an Inherited Connection . . . . . . . . . . . . . . . . . . . .

172

Adding a netSet Property to an Instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

173

Modifying a netSet Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

176

Deleting a netSet Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

176

Analyzing Net Expression Property Names and Evaluated Names . . . . . . . . . . . . . . .

177

Finding a Net Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

178

Finding and Replacing a Net Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

178

Finding Available Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

179

Viewing the Evaluated Net Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

180

Viewing the Full List of all Hierarchical Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

182

Checking the Net Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

183

Adding Solder Dots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

184

Adding Solder Dots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

185

October 2002

7

Product Version 5.0

Virtuoso Schematic Composer User Guide

Deleting Solder Dots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

185

Disabling Automatic Solder Dot Creation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

185

Changing Solder Dot Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

186

Adding Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

187

Adding Note Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

189

Adding Note Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

189

Importing an ASCII File for Note Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

190

Adding Patchcords . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

191

Adding Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

194

Adding Probes to a Net, Pin, or Instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

195

Showing Probe Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

196

Removing Probes from a Net, Pin, or Instance . . . . . . . . . . . . . . . . . . . . . . . . . . . .

196

Removing All Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

197

Saving Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

198

Loading Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

198

4

 

Creating a Multisheet Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

201

Browsing a List of Multisheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

202

Converting a Single-Sheet Schematic to a Multisheet Schematic . . . . . . . . . . . . . . . . .

202

Adding New Sheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

203

Editing the Title Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

203

Editing the Sheet Border Size and Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

205

Understanding Offsheet Pins and Their Naming Conventions . . . . . . . . . . . . . . . . . . .

206

Adding Offsheet Pins to Multisheet Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

208

Understanding the Index Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

209

Going to and from the Index Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

210

Repositioning a Schematic within the Sheet Borders . . . . . . . . . . . . . . . . . . . . . . . . . .

210

Deleting Sheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

212

Reordering Sheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

213

Renumbering Sheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

214

Working with Cross-References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

214

Understanding Cross-Reference Zones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

215

Understanding Pin Cross-References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

216

Understanding Cross-Reference Reports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

217

October 2002

8

Product Version 5.0

Virtuoso Schematic Composer User Guide

Preparing to Cross-Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

217

Displaying Cross-References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

218

Zooming In to View All Cross-Referenced Pins One by One . . . . . . . . . . . . . . . . . .

220

Going to a Specifi Hierarchical Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

221

Viewing Cross-Reference Reports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

221

Editing a Cross-Reference Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

223

Controlling the Format String Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

224

Customizing the Format String Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

225

Customizing Multisheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

225

The Basic Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

226

Customizing the Types and Sizes of Sheet Borders . . . . . . . . . . . . . . . . . . . . . . . . .

226

Customizing the Cross Reference Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . .

228

Drawing a Customized Sheet Border . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

228

Drawing a Sheet Border . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

229

Drawing Sheet Zones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

229

Drawing Zone Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

230

Adding the Sheet Zone Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

231

Adding a Title Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

232

Adding Title Block Values with Normal Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

232

Adding Title Block Values with NLP and IL Labels . . . . . . . . . . . . . . . . . . . . . . . . . .

233

Verifying the Label Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

234

Adding Title Block Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

234

Customizing Pin Cross-References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

236

Presetting the Title Block Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

236

5

 

Creating Symbols. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

239

Setting Grid Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

241

Importing Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

242

Creating New Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

243

Drawing Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

244

Drawing Rectangles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

245

Drawing Polygons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

246

Drawing Circles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

247

Drawing Ellipses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

248

October 2002

9

Product Version 5.0

Virtuoso Schematic Composer User Guide

Drawing Arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

248

Adding Pins as Graphic Images . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

250

Adding Pins as Instances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

251

Creating Custom Pins as Graphic Images . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

252

Duplicating Pin Figures in the Symbol Master . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

253

Making the Custom Pin Name Visible . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

254

Adding a Selection Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

255

Adding Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

255

Adding a Normal Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

256

Adding an NLP Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

258

Adding an IL Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

261

Adding Note Text and Note Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

262

Adding a Net Expression Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

262

Setting the Symbol Origin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

263

Creating Symbol Cellviews Using Generators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

264

6

 

Automatically Creating Cellviews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

265

Features of Creating Cellviews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

266

Automatically Creating a Cellview from Another Cellview . . . . . . . . . . . . . . . . . . . . . . .

266

Replacing an Existing Symbol Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

268

Modifying an Existing Symbol Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

270

Examples of Replacing and Modifying Pins on a Symbol . . . . . . . . . . . . . . . . . . . .

271

Automatically Creating a Cellview from a Pin List . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

274

Automatically Creating a Cellview from an Instance . . . . . . . . . . . . . . . . . . . . . . . . . . .

275

Editing Symbol Generation Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

277

Adding, Changing, and Reordering Pin Names . . . . . . . . . . . . . . . . . . . . . . . . . . . .

278

Editing Pin Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

280

Loading and Saving a TSG Template File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

282

Editing Symbol Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

285

Editing Symbol Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

286

Creating a New Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

289

Deleting Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

291

Modifying Label Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

293

Editing Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

296

October 2002

10

Product Version 5.0

Virtuoso Schematic Composer User Guide

Creating a New Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

297

Deleting Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

299

Modifying Property Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

301

Specifying Symbol Generator Pin Sorting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

303

7

 

Editing Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

305

Using Direct Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

306

Direct Manipulation Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

307

Turning Off Direct Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

308

Undoing and Redoing an Edit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

308

Changing the Undo Limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

308

Stretching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

309

Stretching with the Schematic Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

310

Stretching with the Symbol Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

313

Stretching with Direct Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

315

Stretching with Bindkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

316

Copying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

316

Copying Single Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

317

Copying Arrays of Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

317

Copying Across Cellviews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

318

Copying with Direct Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

318

Copying with Bindkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

319

Moving . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

319

Moving Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

320

Moving with Direct Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

321

Moving with Bindkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

322

Deleting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

322

Deleting Preselected Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

323

Deleting Postselected Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

323

Deleting Sheet Borders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

324

Rotating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

324

Rotating Preselected Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

324

Rotating Postselected Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

325

Rotating with Direct Manipulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

326

October 2002

11

Product Version 5.0

Virtuoso Schematic Composer User Guide

Rotating with Bindkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

326

Discarding Edits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

327

Alternating Symbol Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

327

Changing the View of a Preselected Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

327

Changing the View of a Postselected Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

328

Toggling Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

328

Toggling Pin Direction Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

328

Toggling Wire Draw Mode Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

328

Toggling Instance Symbol View Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

329

Editing the Display Configuration of a Component . . . . . . . . . . . . . . . . . . . . . . . . . . . .

329

Changing the Display Configuration of Instance IL Labels . . . . . . . . . . . . . . . . . . . .

330

Saving the Current Label Display File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

332

Loading the Current Label Display File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

332

Attaching the Label Display File to the Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

333

Detaching the Label Display File from the Library . . . . . . . . . . . . . . . . . . . . . . . . . .

334

Setting the Simulation Data Directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

335

8

 

Editing Properties. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

337

Using Command Shortcuts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

339

Searching for Objects That Have a Specifi Property . . . . . . . . . . . . . . . . . . . . . . . . . .

339

Search String Wildcards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

341

Replacing Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

341

Replacing String-Tagged Characters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

343

Selecting Objects to Edit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

347

Using Modal (Repeating) Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

347

Selecting Objects by Filtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

348

Selecting Objects Automatically by Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

349

Selecting Objects by Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

351

Adding a Property Name to the Search List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

352

Selecting Objects by Filtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

353

Object and Cellview Property Types and Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

354

Editing Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

356

Applying Edits to Objects and Displaying Object Types . . . . . . . . . . . . . . . . . . . . . .

356

Adding Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

357

October 2002

12

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Deleting Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

358

Modifying Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

359

Editing Specifi Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

360

Editing Instance and Block Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

360

Editing Pin Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

362

Designating the Order of Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

362

Copying a Pin Order from Another Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

363

Resolving Pin Order Mismatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

364

Verifying Pin Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

364

Editing Pin Name Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

365

Editing Wire Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

365

Editing Wire Name Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

366

Editing Instance Pin Properties on an Instance of a Block . . . . . . . . . . . . . . . . . . . .

367

Editing Label Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

368

Resetting Invisible Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

369

Editing netSet Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

371

Editing Note Text Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

371

Editing Note Shape Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

373

Editing Symbol Shape Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

374

Editing Symbol Pin Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

375

Editing Symbol Label Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

376

Editing Symbol Selection Box Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

377

Editing User-Defined Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

378

Passing Parameters in a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

380

Editing Cellview Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

381

Adding Cellview Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

382

Deleting Cellview Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

383

Modifying Cellview Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

384

Editing VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

385

Adding VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

386

Deleting VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

387

Modifying VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

388

October 2002

13

Product Version 5.0

Virtuoso Schematic Composer User Guide

Setting the Tool Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

388

9

 

Traversing the Design Hierarchy and Creating a Design

 

Configuration View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

391

About the Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

392

Exploring the Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

393

Top-Level Schematic View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

394

Middle-Level Schematic View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

395

Lower-Level Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

396

About the Configuration View of a Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . .

396

About the Hierarchy Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

397

Traversing a Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

398

Descending Using the Descend Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

398

Editing an Instance in Place . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

400

Descending Using the View Name List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

401

Setting the View Precedence Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

402

Returning Up the Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

403

Using OSMs to Traverse the Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . .

403

Using Bindkeys to Traverse the Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . .

404

Displaying the Current Hierarchical Scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

405

Creating a New Configuration View for the Design Hierarchy . . . . . . . . . . . . . . . . . . . .

405

Opening an Existing Design Configuration View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

406

About the Hierarchy Editor within the Schematic Composer . . . . . . . . . . . . . . . . . . . . .

408

Working with a Design Configuration within the Schematic Composer . . . . . . . . . . . . .

408

Opening a Hierarchy Editor Menu within the Schematic Composer . . . . . . . . . . . . .

409

Editing a Design Configuration within the Schematic Composer . . . . . . . . . . . . . . .

409

Setting Instance Bindings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

409

Updating a Design Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

411

Building a New Inherited View List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

411

Showing Views Found . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

413

October 2002

14

Product Version 5.0

Virtuoso Schematic Composer User Guide

10

 

Checking Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

415

Features of the Check Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

416

Setting the Check Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

418

Setting User Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

418

Setting Schematic Rule Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

420

Checking a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

425

Checking and Saving a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

426

Checking the Current Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

428

Checking a Design Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

429

Checking a Design Hierarchy in the Configuration Context . . . . . . . . . . . . . . . . . . .

430

Checking Multisheet Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

431

Checking a Label Attachment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

432

Cross-View Checking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

433

Bypassing Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

435

Bypassing Floating Pin Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

435

Bypassing Unconnected Wire Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

436

Resolving Check Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

438

Finding Errors and Warnings in a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

440

Mapping an Error Message to a Marker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

441

Managing the Error Message List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

441

Assigning an ignoreCheck Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

441

Suppressing Message Displays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

442

Deleting an ignoreCheck Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

442

Deleting All ignoreCheck Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

442

Deleting Individual Markers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

443

Deleting All Markers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

443

Monitoring a Verilog or VHDL Simulation State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

444

Using Cross Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

445

Opening an SHM Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

445

Displaying the Simulation State of Wires and Pins . . . . . . . . . . . . . . . . . . . . . . . . . .

447

Setting a Time Value for Displaying Signal Results . . . . . . . . . . . . . . . . . . . . . . . . .

448

Setting the Time Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

449

Setting the Display Radius . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

450

Synchronizing with Simulation at Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

451

October 2002

15

Product Version 5.0

Virtuoso Schematic Composer User Guide

Closing the SHM Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

451

11

 

Plotting Designs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

453

Setting Up System Options to Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

454

Setting Up Printer Options to Use Various Paper Sizes . . . . . . . . . . . . . . . . . . . . . . . .

454

Plotting a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

455

Plotting a Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

456

Plotting a Cellview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

457

Plotting Part of a Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

459

Plotting Without a Header Page for Every Session . . . . . . . . . . . . . . . . . . . . . . . . .

460

Adding Notes to a Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

460

Setting Plot Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

461

Plotting a Color Schematic in Black and White . . . . . . . . . . . . . . . . . . . . . . . . . . . .

463

Changing the Background Color of a Schematic Window . . . . . . . . . . . . . . . . . . . .

464

Using a Plot Template File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

465

Creating a Plot Template File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

465

Loading a Plot Template File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

468

Creating a Default Plot Template File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

469

Checking the Queue Status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

470

Canceling a Plot Job . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

470

12

 

Setting Schematic Composer Options . . . . . . . . . . . . . . . . . . . . . . . .

473

Overview of Schematic Composer Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

474

Changing User Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

475

Minimizing Mouse Clicks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

475

Changing the Default Display Setting for Command Option Forms . . . . . . . . . . . . .

477

Saving the Current Window Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

477

Restoring a Saved Window Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

478

Viewing Bindkey Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

478

Changing Browser and CIW Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

479

Customizing Editor Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

480

Canceling the Modal (Repeat) Command Option . . . . . . . . . . . . . . . . . . . . . . . . . .

480

October 2002

16

Product Version 5.0

Virtuoso Schematic Composer User Guide

Changing Display Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

481

Selecting Objects Using the Filter Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

481

Setting Plot Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

481

Setting Schematic Check Options and Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

482

Setting the Tool Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

482

Saving Form Field Default Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

482

Loading Form Field Default Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

483

13

 

Customizing the Schematic Composer . . . . . . . . . . . . . . . . . . . . . . .

485

Customizing Pull-Down and Pop-Up Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

486

Default Menu Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

486

Sample Menu File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

486

Customizing Object-Sensitive Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

487

Example of Customizing the Instance OSM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

487

Customizing the Icon Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

489

Positioning Icons and Turning Off Icon Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

490

Changing the Contents of the Icon Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

490

Changing the Display of the Icon Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

491

Understanding Initialization and Setup Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

491

Converting a Schematic from a 0.125 Grid to a 0.1 Grid . . . . . . . . . . . . . . . . . . . . .

491

Customizing Bindkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

492

Locating the schBindKeys.il Bindkey File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

492

Locating Your Installation Directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

492

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

493

Loading Your Customized Bindkey File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

493

Loading the Cadence-Supplied Bindkey File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

494

Customizing the Configuration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

494

Opening the Configuration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

495

Editing the Configuration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

495

Loading the Configuration File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

495

Customizing Global Editor Variables for Form Fields . . . . . . . . . . . . . . . . . . . . . . . . . .

496

Schematic Editor Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

496

Symbol Editor Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

497

Symbol Generation (tsg) Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

497

October 2002

17

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

HDL (Verilog, SpectreHDL, and VerilogA) Variables . . . . . . . . . . . . . . . . . . . . . . . .

497

AMS Netlisting Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

498

Block Pin Direction Rule Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

498

Block Sample Sizes Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

499

View Name Exclusion Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

501

Schematic Pin Types Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

503

Plot Template File Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

504

Property Filter Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

505

Schematic Rule Checker Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

505

Properties for Find Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

506

Multisheet Masters Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

507

Simulation Probe Masters Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

508

View Name Masters Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

509

View Master Options Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

511

Zone Formats Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

512

Symbol Label Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

513

Symbol Pin Masters Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

515

Customizing Text-to-Symbol Generator (TSG) Variables for Form Fields . . . . . . . . . . .

516

Pin Master Connections Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

517

Symbol Pin Characteristics Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

518

Template Masters Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

519

Customizing HDL Variables for Form Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

519

Edit After Error Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

519

Update Symbol After Edit Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

520

Cross View Check Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

520

Cross View String Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

520

Printing Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

520

Verbose Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

521

No Hierarchy Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

521

Net to Port Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

521

October 2002

18

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Customizing the Plot Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

522

A

 

Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

523

Overview of Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

529

Schematic Editor Environment Variables File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

530

Window Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

534

schDisplayDepth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

534

schDrawAxesOn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

534

schDynamicHilightOn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

535

schGridMultiple . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

535

schGridSpacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

535

schGridType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

536

schMaxDragFig . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

536

schPathType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

536

schShowDirectConnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

537

schShowLabelOrigin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

537

schSnapSpacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

538

schWindowBBox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

538

symDisplayDepth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

538

symDrawAxesOn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

539

symDynamicHilightOn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

539

symGridMultiple . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

540

symGridSpacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

540

symGridType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

540

symMaxDragFig . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

541

symPathType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

541

symShowDirectConnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

542

symShowLabelOrigin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

542

symSnapSpacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

542

symWindowBBox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

543

viewNameList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

543

Schematic Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

544

autoDot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

544

blockDirRules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

544

October 2002

19

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

broadcast . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

545

browserType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

545

checkAlways . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

545

checkBeepOn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

546

checkHierSave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

546

checkSymTime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

547

compBackTrace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

547

condOp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

548

createCvOptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

548

createInstResetCDF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

549

createInstShowCDF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

549

createLabelFontHeight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

549

createLabelFontStyle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

550

createLabelHorzOffset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

550

createLabelHorzPosition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

551

createLabelHorzRotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

551

createLabelJustify . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

551

createLabelOffsetMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

552

createLabelVertOffset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

552

createLabelVertPosition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

552

createLabelVertRotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

553

cvDisplay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

553

defaultsFile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

554

defWideWireWidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

554

descendSheet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

554

descendTarget . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

555

dotOverlap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

555

drawMode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

556

drawModeRoute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

556

echoToCIW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

557

editPropShowCDF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

557

editPropShowSystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

557

editPropShowUser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

558

findZoomButton . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

558

gravityOn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

559

libList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

559

October 2002

20

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

markerSeverity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

559

markerShowIgnore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

560

markerZoom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

560

maxLabelOffsetUU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

560

minMarkerSize . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

561

modalCommands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

561

netExprDisplay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

562

netNameMethod . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

562

netNamePrefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

562

netNameRangeDescend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

563

netNameSeparator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

563

noteFontHeight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

564

noteFontStyle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

564

noteJustify . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

564

noteShape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

565

noteShapeLineStyle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

565

pinBackTrace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

565

pinNameSeed . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

566

pinRefDuplicates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

566

pinRefFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

567

pinRefSorting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

567

replSaveChanges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

567

runSRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

568

runVIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

568

saveAction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

569

schematicAreaPartialSelect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

569

schematicSelectFilter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

569

sensitiveMenu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

570

shapeLineType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

570

shapeLineWidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

571

simProbeFormat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

571

simProbeType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

571

snapEnabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

572

spanLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

572

srcConnByName . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

572

srcFloatingBIDIR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

573

October 2002

21

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

srcFloatingInput . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

573

srcFloatingIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

574

srcFloatingNets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

574

srcFloatingOutput . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

575

srcInheritedConnCheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

575

srcInstNameExpr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

575

srcInstNameSyntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

576

srcInstOverlap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

576

srcInstOverlapValue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

577

srcMaxLabelOffset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

577

srcNameCollision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

577

srcNetNameExpr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

578

srcNetNameSyntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

578

srcNoOverrideNet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

579

srcOffsheetConnCheck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

579

srcPinNetCollision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

580

srcShortedOutputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

580

srcSolderOnCrossover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

580

srcTermNameExpr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

581

srcTermNameSyntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

581

srcUnconnectedWires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

582

srcVerilogSyntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

582

srcVHDLSyntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

582

ssgSortPins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

583

statusBanner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

583

statusBannerXY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

584

stickyWireLabelRange . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

584

symbolAreaPartialSelect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

584

symbolLabelChoiceText . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

585

symbolLabelFontHeight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

585

symbolLabelFontStyle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

585

symbolLabelJustify . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

586

symbolLabelType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

586

symbolPinFlatten . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

587

symbolPinIncrCount . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

587

symbolPinLocate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

587

October 2002

22

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

symbolPinUsage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

588

symbolSelectFilter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

588

symbolShape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

588

symbolShapeFillStyle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

589

transToSheetType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

589

tsgTemplateType . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

590

updateConn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

590

vicExactPinMatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

591

vicInheritedPins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

591

vicInheritedPinsMatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

591

vicSeverity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

592

vicViewList . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

592

wireBackTrace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

593

zoomOutButton . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

593

B

 

Text-to-Symbol Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

595

Symbol Shape Generated by TSG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

597

TSG Symbol Description File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

598

TSG Template File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

598

Clock and Negation Indicators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

599

Backannotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

599

Simple File Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

599

Complex File Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

600

Extended File Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

601

TSG Symbol Description File Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

603

Basic File Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

603

Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

605

Using TSG Constructs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

607

Cell Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

607

Input Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

608

Output Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

608

I/O Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

608

Symbol Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

609

October 2002

23

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Executing TSG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

620

TSG Processing Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

621

Creating Symbols Automatically from a Schematic . . . . . . . . . . . . . . . . . . . . . . . . .

621

C

 

Symbol and Simulation Library Generator. . . . . . . . . . . . . . . . . . . .

623

Terms and Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

625

Library Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

626

Running the Symbol and Simulation Library Generator . . . . . . . . . . . . . . . . . . . . . . . .

626

Running the Symbol and Simulation Library Generator in the Cadence Graphic

 

Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

626

Running the Symbol and Simulation Library Generator in the Cadence Nongraphic

 

Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

628

Library Management Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

630

lmCheckTerm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

632

lmCheckView . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

633

lmCloseLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

635

lmDefCell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

636

lmDefTermProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

638

lmDefViewProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

640

lmDeleteTermProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

644

lmDeleteViewProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

645

lmGetValue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

646

lmLoadData . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

647

lmOpenLib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

648

lmPrintLibTermProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

649

lmPrintLibViewProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

650

lmPrintTerm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

651

lmPrintTermProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

652

lmPrintViewProp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

653

lmReset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

654

lmSimView . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

655

simRep . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

656

October 2002

24

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Variables That Control Command Execution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

657

Properties That Modify Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

658

Sample Library Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

658

D

 

Configuring and Using Kanji Notes. . . . . . . . . . . . . . . . . . . . . . . . . . . .

663

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

664

Configuring Your System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

664

Installing the Required Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

664

Uncompressing the Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

664

Modifying the Schematic Editor Menu File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

665

Setting the Environment Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

665

Modifying the Data Registry File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

666

Using Kanji Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

667

Formats, Files, and Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

667

Adding a New Note . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

667

Generating a Symbol from an Existing File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

668

Generating a Symbol from a New File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

669

Editing an Existing Note . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

670

October 2002

25

Product Version 5.0

Virtuoso Schematic Composer User Guide

Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672 Known Problems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672 schHiCreateKanjiSymbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 674

E

 

Form Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

677

Add Block Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

682

Add Custom Pin Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

683

Add Generic Form – VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

684

Add Instance Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

685

Add Kanji Note Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

686

Add Net Expression Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

687

Add New Property to Find Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

688

Add New Property to Replace Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

689

Add New Property for Search Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

690

Add Note Shape Form – Arc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

691

Add Note Shape Form – Circle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

692

Add Note Shape Form – Ellipse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

693

Add Note Shape Form – Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

694

Add Note Shape Form – Polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

695

Add Note Shape Form – Rectangle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

696

Add Note Text Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

697

Add Pin Form – Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

699

Add Pin Form – Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

700

Add Property Form – Edit Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

701

Add Selection Box Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

702

Add Simulation Monitor Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

703

Add Symbol Label Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

705

Add Symbol Shape Form – Arc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

706

Add Symbol Shape Form – Circle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

707

Add Symbol Shape Form – Ellipse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

708

Add Symbol Shape Form – Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

709

Add Symbol Shape Form – Polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

710

Add Symbol Shape Form – Rectangle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

711

Add Wire Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

712

October 2002

26

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Add Wire Name Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

713

Build Inherited View List Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

715

Cellview From Cellview Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

716

Cellview From Instance Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

717

Cellview From Pin List Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

718

Cellviews with Evaluated Net Expression Name Form . . . . . . . . . . . . . . . . . . . . . . . . .

719

Change Sheet Border Size Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

720

Check Hierarchy Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

721

Component Browser Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

722

Component Browser Preferences Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

723

Copy Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

724

Create Cellview Dialog Box – Replace or Modify . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

725

Create Schematic Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

726

Create Schematic Sheet Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

727

Cross Reference Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

728

Cross-View Checker Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

729

Delete All Markers Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

730

Delete Generic Form – VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

731

Delete Property Form – Edit Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

732

Delete Schematic Sheet Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

733

Descend Form – Descend Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

734

Descend Form – Iterated Instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

735

Descend Form – Multisheet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

736

Descend Form – Noniterated Instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

737

Display Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

738

Edit Cellview Properties Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

741

Edit Component Display Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

743

Edit Object Properties Form – Basic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

745

Edit Object Properties Form – Instance and Block . . . . . . . . . . . . . . . . . . . . . . . . . . . .

746

Edit Object Properties Form – Instance Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

749

Edit Object Properties Form – Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

751

Edit Object Properties Form – Master Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

753

Edit Object Properties Form – Net Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

755

Edit Object Properties Form – Note Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

757

Edit Object Properties Form – Note Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

759

Edit Object Properties Form – Other Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

761

October 2002

27

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Edit Object Properties Form – Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

763

Edit Object Properties Form – Pin Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

765

Edit Object Properties Form – Selection Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

767

Edit Object Properties Form – Symbol Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

768

Edit Object Properties Form – Symbol Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

770

Edit Object Properties Form – Symbol Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

772

Edit Object Properties Form – Wire Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

774

Edit Object Properties Form – Wire Segment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

776

Edit Pin Order Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

778

Edit Schematic Sheet Number Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

779

Editor Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

780

Find Marker Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

783

Go To Pin Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

785

Go To Sheet Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

786

Import Symbol Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

787

Left, Right, Top, Bottom Pin Attributes Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

788

Load Label Display Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

789

Load Schematic Defaults Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

790

Modify Generic Form – VHDL Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

791

Modify Property Form – Edit Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

792

Move Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

793

Net Expression Available Property Names Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

794

Net Expression Evaluated Names Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

795

Occurrence Paths to Evaluated Name Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

796

Open Simulation Data Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

797

Plot Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

798

Renumber Instances Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

800

Reset Invisible Labels Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

801

Rotate Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

802

Route Flight Line Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

803

Save Label Display Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

804

Save Schematic Defaults Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

805

Save Schematics with Errors Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

806

Schematic Check Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

807

Schematic Find and Symbol Find Forms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

809

Schematic Replace and Symbol Replace Forms . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

811

October 2002

28

Product Version 5.0

Virtuoso Schematic Composer User Guide

 

Schematic Select All Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

813

Schematic Select By Property Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

814

Schematic Selection Filter Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

815

Schematic Title Block Properties Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

816

Set Instance Binding Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

817

Set Label Display Simulation Data Directory Form . . . . . . . . . . . . . . . . . . . . . . . . . . . .

818

Set Radix Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

819

Set Time Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

820

Setup Schematic Rules Checks Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

821

Show Label Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

825

Show Views Found Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

826

Stretch Form – Schematic Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

827

Stretch Form – Symbol Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

829

Submit Plot Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

830

Symbol Generation Options Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

834

Symbol Select All Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

838

Symbol Select By Property Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

839

Symbol Selection Filter Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

840

Synchronization Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

841

Time Scale Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

842

VHDL Properties Form . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

843

Glossary .....................................................................................................................

845

October 2002

29

Product Version 5.0

Virtuoso Schematic Composer User Guide

October 2002

30

Product Version 5.0

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]