Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
VAMS-LRM-2-3-1.pdf
Скачиваний:
43
Добавлен:
05.06.2015
Размер:
3.73 Mб
Скачать

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

11.6 Object data model diagrams

Subclauses in 11.6.1 through 11.6.25 contain the data model diagrams that define the accessible objects and groups of objects, along with their relationships and properties.

Copyright © 2009 Accellera Organization, Inc.

252

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

11.6.1 Module

module

-> cell

bool: vpiCellInstance

-> decay time

int: vpiDefDecayTime

-> default net type int: vpiDefNetType

-> definition location

int: vpiDefLineNo str: vpiDefFile

-> definition name str: vpiDefName

-> delay mode

int: vpiDefDelayMode

-> location

int: vpiLineNo str: vpiFile

-> name

str: vpiName str: vpiFullName

-> protected

bool: vpiProtected

-> timeprecision

int: vpiTimePrecision

-> timeunit

int: vpiTimeUnit

-> top module

bool: vpiTopModule

-> unconnected drive int: vpiUnconnDrive

vpiInternalScope

scope

port

net

reg

variables

memory

named event

process

cont assign

module

primitive

mod path

tchk

parameter

spec param

def param

param assign

io decl

branches nodes

NOTES

1—Top-level modules shall be accessed using vpi_iterate() with a NULL reference object.

2—Passing a NULL handle to precision of all modules in

vpi_get() with types vpiTimePrecision or vpiTimeUnit shall return the smallest time the instantiated design.

253

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

11.6.2 Nature, discipline

discipline param assign

vpiFlowNature nature

nature

vpiPotentialNature

-> name

str: vpiName str: vpiFullName

discipline

 

 

 

nature

 

 

param assign

 

 

 

 

 

 

nature

 

 

 

 

 

nature

 

vpiParent

 

vpiChild

 

-> name

str: vpiName str: vpiFullName

Copyright © 2009 Accellera Organization, Inc.

254

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

11.6.3 Scope, task, function, IO declaration

stmt

module udp defn

parameter

taskfunc

task function

-> location

int: vpiLineNo str: vpiFile

scope

 

 

 

 

 

 

 

 

 

 

named event

 

 

 

 

 

 

 

 

module

 

 

 

 

 

 

 

 

 

 

variables

 

 

 

 

 

 

 

 

 

 

taskfunc

 

 

 

 

 

 

 

 

 

 

reg

 

 

 

 

 

 

 

 

 

 

named begin

 

 

 

 

 

 

 

 

 

 

def param

 

 

 

 

 

 

 

 

 

named fork

 

 

 

 

 

 

 

 

 

 

memory

 

 

 

 

 

 

 

 

 

 

-> name

 

 

 

 

 

 

 

 

 

 

scope

 

 

 

 

 

 

 

 

 

 

str: vpiName

 

vpiInternalScope

str: vpiFullName

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

net

 

 

 

 

 

 

reg

 

 

 

vpiExpr

 

 

 

variables

 

 

 

 

 

 

io decl

 

 

 

 

 

expr

 

 

vpiLeftRange

-> direction

 

 

 

 

expr

int: vpiDirection

 

 

 

 

 

vpiRightRange

-> location

 

 

 

 

 

int: vpiLineNo str: vpiFile

-> name

str: vpiName

-> scalar

bool: vpiScalar

-> size

int: vpiSize

-> vector

bool: vpiVector

NOTE—A Verilog-AMS HDL function shall contain an object with the same name, size, and type as the function.

255

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]