Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
VAMS-LRM-2-3-1.pdf
Скачиваний:
43
Добавлен:
05.06.2015
Размер:
3.73 Mб
Скачать

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

11.6.16 Task and function call

 

 

 

 

 

tf call

task

 

 

task call

 

 

function

 

 

func call

 

 

 

 

 

 

 

sys task call

 

 

 

 

 

 

 

vpiSysTfCall

 

sys func call

 

 

 

 

 

 

 

 

 

 

-> user-defined

 

 

 

 

 

bool: vpiUserDefn

 

 

 

 

 

-> value

 

 

 

 

 

vpi_put_value()

 

 

 

 

 

vpi_get_value()

 

 

 

 

 

-> sys func type

 

 

 

 

 

int: vpiSysFuncType

 

 

 

 

 

-> tf name

 

 

 

 

 

str: vpiName

 

 

 

 

 

-> location

 

 

 

 

 

int: vpiLineNo

 

 

 

 

 

str: vpiFile

NOTES

expr

vpiArgument

user systf

-> systf info

p_vpi_systf_data: vpi_get_systf_info()

1—The system task or function which invoked an application shall be accessed with vpi_handle(vpiSysTfCall,

NULL)

2—vpi_get_value() shall return the current value of the system function.

3—If the vpiUserDefn property of a system task or function call is true, then the properties of the corresponding systf object shall be obtained via vpi_get_systf_info().

4—All user-defined system tasks or functions shall be retrieved using vpi_iterate(), with vpiUserSystf as the type argument, and a NULL reference argument.

Copyright © 2009 Accellera Organization, Inc.

268

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

11.6.17 Continuous assignment

 

 

 

 

 

 

 

 

expr

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

vpiRhs

module

 

 

 

cont assign

 

 

 

expr

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

-> delay

 

vpiLhs

 

 

 

 

vpi_get_delays()

 

 

expr

 

 

 

 

-> location

 

 

 

 

 

 

 

 

 

 

 

 

int: vpiLineNo

vpiDelay

 

 

 

 

str: vpiFile

 

 

-> net decl assign

bool: vpiNetDeclAssign

-> strength

int: vpiStrength0 int: vpiStrength1

269

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Accellera

 

Version 2.3.1, June 1, 2009

VERILOG-AMS

11.6.18 Simple expressions

simple expr

vpiUse

nets

regs

variables

parameter

memory

var select

memory word

-> name

vpiIndex

str: vpiName str: vpiFullName

prim term path term tchk term port stmt

cont assign

expr

NOTES

1—For vectors, the vpiUse relationship shall access any use of the vector or part-selects or bit-selects thereof.

2—For bit-selects, the vpiUse relationship shall access any specific use of that bit, any use of the parent vector, and any part-select which contains that bit.

Copyright © 2009 Accellera Organization, Inc.

270

 

Accellera

Analog and Mixed-signal Extensions to Verilog HDL

Version 2.3.1, June 1, 2009

11.6.19 Expressions

expr

simple expr

vpiParent

part select

-> location

int: vpiLineNo str: vpiFile

operation

-> operation type int: vpiOpType

-> location

int: vpiLineNo str: vpiFile

constant

-> constant type

int: vpiConstType

-> location

int: vpiLineNo

func call

sys func call

analog oper

accessfunc

-> size

int: vpiSize

-> value vpi_get_value()

vpiLeftRange

vpiRightRange

vpiOperand

expr

expr

expr

discipline

branches

NOTE—For an operator whose type is vpiMultiConcat, the first operand shall be the multiplier expression.

271

Copyright © 2009 Accellera Organization, Inc. All rights reserved.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]