Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
layout editor.pdf
Скачиваний:
180
Добавлен:
05.06.2015
Размер:
3.04 Mб
Скачать

Virtuoso XL Layout Editor User Guide

Preparing Instances and Pins in Your Layout for the Virtuoso XL Layout Editor

Preparing Instances for Hierarchical Connectivity Checking

When you are working with a hierarchical design and want to check connectivity hierarchically, by setting the Extract Connectivity to Level value on the Layout XL

Options form or in a setup file using the extractStopLevel environment variable, you need to set extra properties on instances at the lower levels of the design to avoid false overlap and short markers. Instances at the lower levels of the design need to have connectivity information already assigned or need to be opened in Virtuoso XL for the connectivity checking to work.

The lxBlockOverlapCheck property, defined on a shape, instance, or instance master, tells the extractor not to check whether a nonoverlap layer of this shape or instance is touching a nonoverlap layer at the current cellview level or at different cellview levels.

G

S

D

diffusion

poly

G

A: extra layer by mistake

In the illustration above, the lxBlockOverlapCheck property can be defined on the diffusion layer so that no short marker will be created.

The lxBlockExtractCheck property, defined on a shape only, tells the extractor not to check the connectivity between this shape and others it touches.

 

net1

 

net2

 

 

 

 

 

 

 

 

 

 

metal1

 

 

This shape crosses net1 and net2

 

 

 

 

le_ex_#

 

 

 

 

 

In the illustration above, the layer that crosses net1 and net2 has the property lxBlockExtractCheck, so there is no connectivity checking between this shape and all others it touches.

December 2002

96

Product Version 5.0

Virtuoso XL Layout Editor User Guide

Preparing Instances and Pins in Your Layout for the Virtuoso XL Layout Editor

If the shape does not already have connectivity, the software assigns an le_ex_# net name to it.

If you assign connectivity to the shape manually or with Cadence® SKILL code, it will keep the connectivity, but the shape will always be considered incomplete because the software will not check it when checking the connectivity.

For hierarchical connectivity to work for pcells, the connectivity must be defined on the shapes within the pcell. You can assign connectivity interactively using the Connectivity – Assign Nets command or using SKILL functions.

December 2002

97

Product Version 5.0

Virtuoso XL Layout Editor User Guide

Preparing Instances and Pins in Your Layout for the Virtuoso XL Layout Editor

December 2002

98

Product Version 5.0

Virtuoso XL Layout Editor User Guide

5

Setting Up Your Virtuoso XL Layout

Editor Environment

This chapter explains how to set up your Virtuoso® XL layout editor (Virtuoso XL) design environment to suit your preferences and work habits. You can use design variables to change the value of many aspects of your design environment either for an individual design session or permanently (until you change the value of a variable). This chapter discusses the following topics:

Setting Up Your Desktop on page 100

Customizing Your Desktop Layout on page 100

Using Multiple Cellviews on page 101

Printing to the Command Interpreter Window on page 101

Changing Display Colors on page 101

Using Bindkeys on page 105

Displaying Bindkeys on page 105

Loading Virtuoso XL Bindkeys on page 106

Setting Environment Variables in the Layout XL Options Form on page 106

Setting Environment Variables on page 109

Information about Virtuoso XL online forms is at the end of the chapter.

December 2002

99

Product Version 5.0

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]