Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Пособие_VHDL.doc
Скачиваний:
59
Добавлен:
13.11.2019
Размер:
3.18 Mб
Скачать

4.2. Практикум по теме

Задания на работу:

1. Спроектировать регистр сдвига, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Сдвиг циклический влево.

Сдвиг циклический вправо.

2. Спроектировать регистр сдвига, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Сдвиг влево с вводом последовательных данных.

Сдвиг вправо с вводом последовательных данных.

3. Спроектировать регистр сдвига, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Сдвиг циклический влево на два разряда.

Сдвиг циклический вправо на два разряда.

4. Спроектировать счетчик, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Инкрементный счёт.

Декрементный счёт.

5. Спроектировать счетчик, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Инкрементный счёт на два разряда.

Декрементный счёт на два разряда.

6. Спроектировать счетчик, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Инкрементный счёт.

Декрементный счёт.

Формирование выходного сигнала переноса и заёма.

7. Спроектировать регистр, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Инкрементный счёт.

Сдвиг влево.

8. Спроектировать регистр, обеспечивающий следующие функции:

Сброс в исходное состояние.

Занесение входной информации.

Декрементный счёт.

Сдвиг циклический вправо.

Рекомендуется придерживаться следующего порядка работы:

I. Моделирование

  1. Создать директорий для работы.

  2. Запустить моделирующую программу (QuestaSim или ModelSim).

  3. Просмотреть в редакторе текст файла lab4.vhd. Для данного раздела исходный файл lab1.vhd соответствует программе 1.1. Source.

  4. Выполнить компиляцию проекта.

  5. Загрузить скомпилированный проект в систему моделирования,

  6. Открыть окна наблюдения Process, Signal, Wave.

  7. Запустить процедуру моделирования, вызвав команду системы моделирования simulate.

  8. Сформировать тестовую последовательность, используя команду системы моделирования FORCE (в пределах необходимого для полноты проверки числа комбинаций входных данных).

  9. Выполнить моделирование в пошаговом режиме.

  10. Выполнить моделирование в автоматическом режиме. Просмотреть временную диаграмму в окне Wave и убедиться в правильности вычисления логической функции

II. Синтез и имплементация.

  1. Открыть пакет Quartus II (версия задается преподавателем).

  2. Создать новый проект – File / New Project Vizard, указав созданный директорий и программу lab1.vhd.

  3. Компилировать проект (Processing/Start Compilation), опираясь на данные о ПЛИС, соответствующие используемому учебному стенду (Assigments/Device)

  4. Оценить затраты на реализацию проекта Processing / Compilation Report.

  5. Просмотреть RTL вид проекта и его топологическую реализацию – Tools / RTL View – Tools / Technology Map View.

  6. Задать номера контактов ИС, соответствующие подключению учебной платы DE0.

  7. Выполнить компиляцию проекта с назначенными контактами.

  8. Загрузить в ИС полученный загрузочный файл проекта и проверить работоспособность разработки.

Отчет по теме должен содержать:

- Принципиальную схему устройства в соответствии с индивидуальным заданием. При этом отобразить в рисунках иерархичность фрагментов проекта и реально использованные в тексте имена фрагментов, узлов, цепей и т.д.

- Текст программы с его разбиением на разделы и их наименованием.

- Последовательность активизации и дезактивизации процессов при пошаговом моделировании.

- Временные диаграммы результатов моделирования.