Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
ISE_WP.docx
Скачиваний:
10
Добавлен:
22.08.2019
Размер:
8.55 Mб
Скачать

5.3.Принципиальная схема устройства и ее компоненты

Для продолжения следует нажать кнопку New Source в окне менеджера проекта (рис. 32)

Рис. 32. Создание главного файла проекта.

и в диалоговом окне создания новых модулей проекта назначить форму представления файла верхнего уровня проекта (Schematic) и дать ему название (в данном случае my2) как это показано на рис. 33.

Рис. 33. Выбор представления главного файла проекта.

Далее следует перейти к следующей форме (кнопка Next), проверить, что текстовый файл с описанием модуля верхнего уровня содержит правильные данные (рис. 34),

Рис. 34. Суммарные данные о проекте.

после чего перейти к следующей процедуре проектирования, нажав кнопку Finish.

Вид навигатора проекта после создания файла верхнего уровня представлен на рис. 35.

Рис. 35. Окно навигатора проекта с открытым документом графического редактора.

Поскольку для верхнего уровня проекта выбран тип модуля в виде принципиальной схемы (расширение файла модуля .- *.sch), то соответствующее ему окно документа представляет собой графический редактор принципиальных схем ECS (Engineering Capture Schematic).

На месте расположения списка файлов расположено окно с несколькими вкладками (Design, Symbols, Option, Library и др). Список файлов вызывается при открытии вкладки Design, а вкладка Symbols содержит список графических компонентов, разбитых на группы (рис. 36).

Рис. 36. Список графических компонентов.

Полная информация о стандартных ячейках заранее занесена в базу данных ISE.

Панель инструментов графического редактора ECS содержит стандартные для Windows-приложений кнопки-пиктограммы работы с файлами и кнопки специальных операций для редактирования принципиальных схем. Назначение этих кнопок поясняется таблицей 14.

Таблица .14 Основные кнопки панели инструментов редактора схем.

Чтобы разместить на схеме графический символ компонента, следует щелкнуть левой кнопкой мыши на его наименовании, после чего его можно захватить и поместить на схему его графическое обозначение. На рис. на схему добавлен компонент 2И (and2), выбранный из группы logic библиотеки стандартных ячеек.

Рис. 37. Добавление графических символов компонентов на схему.

Для добавления в схему нового элемента можно выбрать его из библиотеки примитивов или описать его на языке VHDL.

Пусть необходимо создать и добавить в проект новый модуль 2ИЛИ-НЕ, выполненный на основе логического описания на языке VHDL. Для этого следует вернуться к вкладке Design, нажать кнопку New Source, задать тип нового модуля (VHDL Module) и присвоить ему имя (на рис 38. модуль my_3).

Рис. 38. Добавление в проект модуля на основе описания на языке VHDL.

На следующем шаге следует определить интерфейс создаваемого логического модуля, указав параметры его соединений с другими модулями, т.е. имена и типы его портов (рис. 39).

Рис. 39. Пример настройки параметров интерфейса модуля в САПР ISE.

Далее следует нажать кнопку Next и проверить правильность введенных данных, изучив соответствующий отчет (рис. 40).

Рис. 40. Файл отчета создания нового модуля.

После нажатия кнопки Finish, в навигаторе проекта появится новый модуль, а в окне документов станет доступным редактирование шаблона кода его описания. Работа с редактором кода аналогична работе с любым текстовым редактором. При вводе стандартных функций и директив языка для удобства работы цвет текста автоматически изменяется. Как показано на рис. 41,

Рис. 41. Редактирование шаблона.

для выполнения задания (создать элемент, реализующий функцию 2ИЛИ_НЕ) следует вписать с шаблон строчку с кодом:

process (in_1, in_2)

begin

out_1 <= not (in_1 or in_2);

end process;

Отредактированный код поведенческого описания следует сохранить (меню File -Save). Затем следует выполнить проектную процедуру проверки синтаксиса кода. Для этого следует выделить в окне модулей проекта соответствующий файл, и в окне Process и дважды щелкнуть на строчке вызова приложения Check Syntax (рис. 42).

Рис. 42. Проверка синтаксиса кода.

Результаты выполнения проверки синтаксиса будут выведены в окно Console внизу навигатора проекта. При сообщении об ошибках (Process "Check Syntax" failed) следует найти в тексте консоли соответствующие строки (ошибки выделены цветом) с указанием места, где в окне документов локализована ошибка, и типа ошибки. Ошибки необходимо исправить, после чего следует сохранить файл с исправленным кодом и снова проверить его синтаксис.

Если проверка синтаксиса прошла успешно, то напротив строки с кнопкой вызова приложения Check Syntax появится зеленый кружок с галочкой, а в консоли сообщений - строка Process "Check Syntax" completed successfully.

После этого следует выполнить процедуру создания символьного представления разработанного логического модуля (в данном случае - модуля my_3.vhd).

Для создания символьного представления модуля и включения его в принципиальную схему следует выполнить следующие действия (рис. 43).

Рис. 43. Создание нового графического символа.

  • Выделить в окне исходных модулей проекта строку с названием модуля «my_3 – Behavioral (my_3.vhd)», после чего в окне Process откроется в список процессов, доступных для данного модуля.

  • Дважды щелкнуть на строке Design Utilites - Create Schematic Symbol.

  • Проверить, что в окне консоли появилось сообщение об успешном выполнении компиляции графического символа логического модуля.

Важно проверить корректность выполнения данной операции после каждого изменения кода проектируемого модуля и перезаписи соответствующего текстового файла кода, т.к. при ошибках САПР ISE будет всегда использовать в проекте последнюю корректно оттранслированную версию компонента. Впоследствии это послужит источником ошибок в работе всего проекта, который будет трудно обнаружить.

  • Открыть из окна модулей процесса документ с принципиальной схемой проекта, раскрыть закладку Symbol и убедиться, что в списке библиотечных элементов проекта в категории «<=All symbols =>» появился модуль my_3 (рис. ).

Компоненты, созданные пользователем, сохраняются в отдельной группе, название которой соответствует пути к папке проекта. Поэтому, в частности, нужно, чтобы проект размещался вне общей папки с САПР ISE.

  • Чтобы поместить символьное представление модуля на принципиальную схему, как это показано на рис. , нужно щелкнуть левой кнопкой мыши на его наименовании и захватив мышкой, перенести на схему.

Рис. 44. Пример размещения на принципиальной схеме логического модуля my_3.

В принципиальную схему следует также внести необходимые стандартные элементы:

  • входы модулей my_3 и And2 соединить проводниками (использовать элементы Add Wire, левую кнопку мыши и клавишу «Esc»);

  • выбрать из категории «IO» и перенести на принципиальную схему буферные стандартные элементы «ibuf» и «obuf» и соединить их проводниками с соответствующими узлами схемы;

  • поместить на схему специальные маркеры входов и выходов, которые должны быть впоследствии подключены к выводам микросхемы, в которую будет «зашито» проектируемое устройство;

По умолчанию маркеру присваивается имя XLLN_XX, где XX - порядковый номер компонента в соответствии с внутренней нумерацией графического редактора ECS (рис. 45).

Рис. 45. Рисование схемы.

  • дать маркерам входов и выходов собственные контекстные имена, отражающие назначение подключенным к ним сигналов.

Для этого необходимо нажать на маркер право кнопкой мыши и выбрать пункт контекстного меню Rename Port (рис. 46).

Рис. 46. Переименование маркеров входа и выхода.

В появившемся диалоговом окне (рис. 47) ввести новое имя порта.

Рис. 47. Пример редактирования имени порта вывода.

Окончательный вид принципиальной схемы представлен на рис. 48. После редактирования принципиальной схемы следует сохранить файл с разработанной схемой устройства (модуль верхнего уровня иерархии).

Рис. 48. Пример принципиальной схемы разработанного устройства, включающего два компонента: модуль логического описания компонента my_3 и элемент and2 из библиотеки стандартных элементов.

Для проверки нужно убедиться, что на закладке Design в окне модулей проекта разработанная схема my2 (my2.sch) переместилась на верхний уровень иерархии проекта, включив в себя модуль XLXI_5 my_3- Behavioral(my_3.vdh) как это показано на рис. 49.

Рис. 49. Окончательный вид проекта.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]