Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
АПКС.docx
Скачиваний:
16
Добавлен:
19.02.2016
Размер:
1.28 Mб
Скачать

Выводы:

В работе была промоделирована работа криптопроцессора, выполняющего операции шифрования и дешифрования. Моделирование было проведе­но при помощи языка описания аппаратуры VHDL. Основными этапами соз­дания проекта были: изучение метода шифрования, разработка блок-схемы, написание кода программы на языке VHDL, компиляция. После компиляции проекта, следует выполнить процедуру моделирования работы проекта, для этого нужно продумать и создать набор входных воздействий (их называют тестирующими воздействиями), которые помогут проимитировать работу проекта в условиях приближённых к реальным. Результаты работы проекта были отображены на временных диаграммах.