Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Гл.5 Сх.docx
Скачиваний:
43
Добавлен:
12.11.2019
Размер:
558.74 Кб
Скачать

5.1.9. Регистры в микросхемах серии кр1533

Серия микросхем КР1533 содержит широкий набор регистров. Большинство ре­гистров — восьмиразрядные с третьим состоянием выхода и одно- или двухсторон­ним сдвигом (рис. 5.11).

Микросхема КР1533ИР13 (рис. 5.11, а) — это восьмираз­рядный реверсивный сдвиговой регистр. Он имеет входы:

- для параллельной записи данных D0-D7,

- синхронизации С,

- последовательного приема DR и DL,

- задания ре­жимов работы S1, SO

- вход сброса .

Информация считывается с параллельных выходов Q0 - Q7.

Разряды нумеруются слева направо: Q0 — старший разряд, Q1 —младший.

Рисунок 5.11-Регистры серии КР1533: а) –ИР13; б) –ИР24; в)-ИР34

Регистр обеспечивает четыре режима работы:

SI-S0 = 00 — хранение данных (блокировка);

01 — последовательный прием со сдвигом вправо по входу DR;

10 — последовательный прием со сдвигом влево по входу DL;

11 — параллельная запись входу D0-D7.

Запись и последовательный прием данных осуществляются по фронту синхроимпульса С. Во время записи последовательный ввод данных блоки­руется. При =0 все входы регистра устанавливаются в состояние "0".

Микросхема КР1533ИР24 (рис. 5.11, б) — это восьмиразрядный реверсивный сдвиговой регистр с двунаправленными выводами D0-D7 для приема и выдачи инсомации.

Выводы D0-D7 имеют Z-состояния, в которые они переключаются при подаче сигнала лог. 1 хотя бы на один из входов или .

Регистр содержит также дополнительные выводы D0 и D7, которые связаны соответственно со старшим и младшим разрядами, но не имеют Z-состояний.

Регистр обеспечивает четыре синхронных режима работы в зависимости от сигналов на входах SI-S0:

00 — хранение (блокировка);

01 — последовательная за­пись по входу DR со сдвигом вправо;

10 — последовательная запись по входу DL со сдвигом влево;

11 — параллельный прием данных.

Первые три режима реализуют­ся при = = 0; при этом информация передается на выводы D0-D7, а четвер­тый режим осуществляется независимо от значений сигналов на выходах Z1 и Z1.

Все операции выполняются по фронту сигнала синхронизации С.

Регистры ИР13, ИР24 серии КР1533 используют для временного хранения ин­формации, преобразования данных из параллельной формы в последовательную и наоборот.

Микросхема КР1533ИР34 (рис. 5.11, в) — это два независимых четырехразряд­ных регистра с тремя состояниями на выходах Q0-Q3.

Параллельная запись дан­ных по входам D0-D3 выполняется при сигнале лог. 1 на входе разрешения L:

если L= 0, то регистр переходит в режим хранения.

Высокий уровень сигнала на входе переключает выходы регистра в третье состояние. Однако при этом в регистр мо­гут записываться новые данные или сохраняться предыдущие.

Микросхема облада­ет большой нагрузочной способностью, что в сочетании с тремя состояниями позво­ляет использовать регистр в магистральных структурах без дополнительных интер­фейсных схем.

Мощность потребления регистров ИР13, ИР24 и ИР34 серии КР1533 составля­ет примерно 200 мВт, а время записи информации — 15-20 нc.

Контрольные вопросы

  1. Что такое регистр?

  2. Каковы области применения регистров?

  3. Сформулируйте признаки классификации регистров.

  4. Охарактеризуйте микрооперации, которые может выполнять регистр.

  5. Что такое сдвиги? Перечислите основные виды сдвигов.

  6. Какие логические операции реализуются в регистрах?

5.2.1. Общая характеристика счетчиков

5.2.2. Двоичные суммирующие и вычитающие счетчики

5.2.3. Двоичные реверсивные счетчики

5.2.4. Двоично-десятичные счетчики

5.2.5. Счетчики с единичным кодированием

Используемая литература: Н.П.Бабич, И.А.Жуков Компьютерная Схемотехника. Методы построения и проектирования: Учебное пособие. - «МК-Пресс» Киев, 2004. 576 с.

5.2.1. Общая характеристика счетчиков

Счетчиком называется типовой функциональный узел компьютера, предназначенный для счета входных импульсов. Счетчик представляет собой связанную цепочку Т-триггеров, образующих память с заданным числом устойчивых состояний (Рис. 5.12)

Рисунок 5.12-Логическая структура счетчика

Разрядность счетчика п равна числу Т-триггеров. Каждый входной импульс изменяет состояние счетчика, которое сохраняется до поступления следующего сигнала. Значения выходов триггеров счетчика Qn,Qn-1, … Q1 -отображают результа счета в принятой системе счисления.

Логическая функция счетчика обозначаете буквами СТ (counter).

Список микроопераций счетчика включает:

-предварительную установку в начальное состояние,

-инкремент или декремент хранимого слова,

-вы­дачу слов параллельным кодом и др.

Входные импульсы могут поступать на счетчик как периодически, так и произ­вольно распределенными во времени. Амплитуда и длительность счетных импуль­сов должны удовлетворять техническим требованиям для используемых серий микросхем.

Счетчик является одним из основных функциональных узлов компьютера, а также различных цифровых управляющих и информационно-измерительных систем.

Основное применение счетчиков:

  • образование последовательности адресов команд программы (счетчик ко­манд или программный счетчик);

  • подсчет числа циклов при выполнении операций деления, умножения, сдви­га (счетчик циклов);

  • получение сигналов микроопераций и синхронизации; аналого-цифровые преобразования и построение электронных таймеров (часов реального времени).

Счетчик характеризуется модулем и емкостью счета.

Модуль счета КСч опре­деляет число состояний счетчика.

Модуль двоичного n-разрядного счетчика выра­жается целой степенью двойки М = 2n.

После счета числа импульсов NBx = Ксч счетчик возвращается в начальное состояние. Таким образом, модуль счета, который часто называют ко­эффициентом пересчета, определяет цикл работы счетчика, после которого его со­стояние повторяется. Поэтому число входных импульсов и состояние счетчика од­нозначно определены только для первого цикла.

Емкость счета Nmаx определяет максимальное количество входных импульсов, которое может зафиксировать счетчик при одном цикле работы.

Емкость счета NСч=Ксч - 1 при условии, что работа счетчика начинается с нулевого начального состояния.

В счетчиках используются три режима работы: управления, накопления и деления.

В режиме управления считывание информации производится после каждого аходного счетного импульса, например, в счетчике адреса команд.

В режиме накоп­ления главным является подсчет заданного числа импульсов либо счет в течение определенного времени.

В режиме деления (пересчета) основным является уменьшение частоты поступления импульсов в Ксч раз.

Большинство счетчиков может работать во всех режимах, однако в специальных счетчиках-делителях состояния в процессе счета могут изменяться в произвольном порядке, что позволяет упростить схему узла.

Счетчики классифицируют по следующим признакам:

  • способу кодирования — позиционные и непозиционные;

  • модулю счета — двоичные, десятичные, с произвольным постоянным или переменным (программируемым) модулем;

  • направлению счета — простые (суммирующие, вычитающие) и реверсив­ные;

  • способу организации межразрядных связей — с последовательным, сквоз­ным, параллельным и комбинированным переносами (заемом);

  • типу используемых триггеров — Т, JK, D в счетном режиме;

  • элементному базису - потенциальные, импульсные и потенциально-импульсные.

В счетчиках с позиционным кодированием числовое выражение текущего со­стояния счетчика определяется формулой:

N = Qi = rn Qn + rn-1 Qn-1 + … + r1 Q1

где ri -вес i-го разряда;

Qi — значение выхода i-го разряда;

п — число разрядов.

Нулевое значение всех разрядов обычно принимается за начальное состояние счетчика. Остальные состояния нумеруют по числу поступивших входных импуль­сов.

В счетчиках с непозиционным кодированием (например, в кодах Грея) разряды не имеют постоянных весов и каждом набору состояний Qn, Qn-1,…Q1 приписыва­ется определенное количество входных импульсов.

В компьютерах преимуществен­но используют счетчики с позиционным кодированием.

По виду переходов простые счетчики (Сч) подразделяются на суммирующие (прямого счета) и вычитающие (обратного счета).

В суммирующих счетчиках каждый прибавляемый импульс U+ увеличивает состояние на единицу, то есть реализуется микрооперация инкремента Сч : = Сч + 1.

В вычитающих счетчиках каждый вычитаемый импульс U- уменьшает состояние на единицу, то есть реализуется микрооперация декремента Сч : = Сч - 1.

Реверсивные счетчики имеют переходы в прямом и обратном направлениях, что позволяет считать прибавляемые и вычитаемые импульсы.

К временным характеристикам счетчиков относятся:

- разрешающая способность,

- быстродействие

- время установления (переключения) кода.

Разрешающая способность tpc -определяется минимальным интервалом времени между двумя входными импульсами, при котором еще сохраняется работоспо­собность счетчика. Параметр tpc задают временем переключения tT первого (младшего) триггера счетчика, то есть tpc = tT, поскольку он переключается под воздействием каждого входного импульса.

Быстродействие счетчика определяется максимальной частотою Fm поступления входных импульсов в режиме деления и вычисляется по формуле: Fm = 1/tT.

Bремя установления кода tуст отсчитывается от начала входного импульса до момента получения нового состояния. Данный параметр позволяет рассчитать быстродействие счетчика в режиме управления из соотношения: FmK = 1/(tуст + tсч), где tсч - время считывания информации.

Межразрядные связи обеспечивают выработку сигналов переноса в старшие разряды при суммировании импульсов и сигналов заема — при вычитании.

От вида реализации межразрядных связей существенно зависят параметры tyct и Fm.k.

В счетчиках с последовательными переносами триггеры переключаются пооче­редно после каждого входного импульса в направлении от младших разрядов к старшим. Такие счетчики называются последовательными или асинхронными. В счетчиках с параллельными переносами триггеры переключаются одновременно после каждого входного импульса, такие счетчики называются параллельными или синхронными.