Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Лабораторна робота № 1 ПЛИС.doc
Скачиваний:
4
Добавлен:
08.08.2019
Размер:
2.93 Mб
Скачать

Лабораторна робота №1

"Набуття практичних навичок роботи з САПР MAX+PLUS П.

Робота з графічним редактором, редактором часових діаграм. Компіляція I симуляція роботи цифрового пристрою"

Метою роботи є вивчення засобів програмного продукту MAX+plus II для моделювання логічних пристроїв.

Ochobhі теоретичні відомості

САПР MAX+PLUS II є інтегрованим середовищем для розробки цифрових пристроїв на базі програмованих логічних інтегральних схем (ПЛ1С) фірми ALTERA i забезпечує виконання вcix етапів, необхідних для випуску готових вироб1в:

  • створення проектів пристроїв;

  • синтез структур i трасування внутрішніх зв'язків ПЛ1С;

  • підготовку даних для програмування або конфігурація ПЛ1С (компіляцію);

  • веріфікацію проектів (функціональне моделювання i тимчасової аналіз);

  • програмування або конфігуращя ПЛіС.

Нижче зображено головне вікно програми (рисунок 1.1), воно має стандартний інтерфейс Windows-програм. В заголовку вікна програми вказується ім'я i шлях останнього проекту, з яким велася робота.

Рисунок 1.1. Головне вікно системи MAX+plus II

Підпрограми системи MAX+PLUS П. До складу пакету MAX+PLUS II входять наступні зв'язані між собою підпрограми, що реалізовують всі етапи розробки цифрових пристроїв на ПЛ1С фірми ALTERA:

Підпрограми для введения проектів (редактори проектів):

Graphic Editor - графічний редактор (рисунок 1.2), призначений для введения проекту у вигляді схеми з'єднань символів елементів, що знаходяться стандартних бібліотеках пакету або в бібліотеках користувача.

Рисунок 1.2 - Графічний редактор

Вставка символу проводиться подвійним клацаниям лівої кнопки миші на вільному місці графічного редактора. Введені символи i групи символів можна копіювати, видаляти, повертати, перетягувати в іншу область вікна звичним прийомом "Drag&Drop", та так само обмінюватися з іншими вікнами через буфер обміну. Виводи елементів можна сполучати провідниками або присвоюванням однакових імен провідникам, які повинні бути сполучені.

Waveform Editor - редактор часових діаграм (деякі розробники називають цю підпрограму сигнальним редактором), який виконує подвійну функцію: на етапі введения забезпечує введения логіки проекту у вигляді діаграм (епюр) етапів входів i виходів, а на етапі моделювання забезпечує введения діаграм тестових (еталонних) вхідних станів модельованого пристрою i задания переліку тестових виходів. Вікно сигнального редактора зображено на рисунку 1.3.

Рисунок 1.3- Редактор часових діаграм

Час, на протязі якого проводитиметься моделювання задається в меню "File", пункт "End Time", крок тимчасової сітки задається в меню "Options" пункт "Grid Size". Вікно сигнального редактора має чотири поля, розділені вертикальними лініями. Перше поле зліва, ("Name") призначено для введения імені виводу, в другому полі ("Туре") відображається тип виводу (INPUT OUTPUT, BIDIR), в третьему полі "Value" показані етапи виводів відповідні положению спещальної вертикальної візирної лінії. Четверте поле призначено для задавания необхідних етапів виводів, при цьому використовуються інструменти з панелі інструментів редактора, яка розташована вертикально уздовж лівої сторони вікна. Активізащя панелі інструментів відбувається тільки в тому випадку, якщо виділений один з вузлів. Щоб виділити вузол, необхідно клацнути лівою кнопкою миші на імені вузла, можна також виділити будь-яку ділянку уздовж горизонтальної oci, при цьому межі ділянок, що виділяються, прив'язуються до сітки.

Розміщуються виводи за допомогою каскадного меню (рисунок 1.3), пункт "Insert Node". Введені виводи можна редагувати, переміщати, видаляти, розмножувати (з редагуванням імені або типу, якщо це необхідно).

Рисунок 1.4 - В1кно текстового редактора

Text Editor - текстовий редактор (рисунок 1.4) є інструментом для створення текстових файлв проекту на мовах опису апаратури: AHDL (.tdf), VHDL (.vhd), Verilog HDL (.v). В цьому текстовому редакторі можна працювати також з

довільним файлом формату ASCII.

Bci перераховані файли проекту можна створювати в будь-якому текстовому редакторі, проте даний редактор має вбудовану можливість введения файлів проекту, їx компіляції i відладки з видачею повідомлень про помилки i їx локалізацію в початковому тексті або в тексті допоміжних файлів. Kpiм того, існують шаблони мовних конструкцій для AHDL, VHDL i Verilog HDL. В даному редакторі можна вручну редагувати файли призначень i конфпурації (.acf), а також робити установки конфпурації для компілятора, симулятора i часового аналізатора.

Symbol Editor - символьний редактор дозволяє редагувати існуючі символи i створювати hobі. Будь-який відкомпільований проект може бути згорнутий в символ, поміщений в бібліотеку символів i використаний як елемент в будь-якому

іншому проекті. Вікно символьного редактора зображено на рисунку 1.5.

Рисунок 1.5 - Символьний редактор

Floorplan Editor - редактор зв'язків, дозволяє на платі розташування основних логічних елементів вручну розподіляти виводи ПЛІС (закріплювати виводи за конкретними вхідними i вихідними сигналами) i перерозподіляти внутрішні ресурси ПЛІС. Вікно редактора зображено на рисунку 1.6.

Рисунок 1.6 - Редактор зв'язків системи MAX+PLUS II

Підпрограми MAX+PLUS II Compiler

Це підпрограми, що входять в пакет компілятора i призначені для синтезу структури, трасування зв'язків, перевірки коректності проекту i локалізації помилок, формування файлів програмування або конфігурації ПЛІС:

Netlist Extractor - шдпрограма, що забезпечує завантаження списку з'єднань з початкового файлу представлення проекту, створеного при введенні проекту.

Database Builder - підпрограма, призначена для побудови бази даних проекту.

Logic Synthesizer - підпрограма, що забезпечує перевірку коректності проекту за формальними правилами i синтез оптимальної структури проекту.

Practitioner - підпрограма, що забезпечує розбиття проекту на частини в тих випадках, коли pecypciв одного кристала (мікросхеми) недостатньо для реалізації проекту.

Fitter - трасувальник внутршніх зв'язків, що забезпечує реалізацію синтезованої структури.

SNF Extractor - підпрограма, що забезпечує завантаження параметрів проекту, необхідних для функціонального моделювання i часового аналізу.

Підпрограми для верифікації проектів

Simulator - підпрограма, яка разом з редактором часових діаграм призначена для функціонального моделювання проекту (рисунок 1.7) з метою перевірки правильності логіки його функцюнування.

Рисунок 1.7 - Функцюнальне моделювання в системі MAX+PLUS II

Timing Analyzer - підпрограма, що забезпечує розрахунок часових затримок від кожного входу до кожного логічно зв'язаного з ним виходу.

Нарешті, для програмування або конфігурації ПЛІС використовується підпрограма MAX+PLUS II Programmer (рисунок 1.8).

Рисунок 1.8 - Програматор системи MAX+PLUS II

Програмування i перепрограмування мікросхем, які мають вбудовану систему програмування (ISP), може здійснюватися безпосередньо у складі кінцевого виробу через спеціальний кабель що підключається або до LPT-порту (ByteBlaster), або до СОМ-порту (BitBlaster) комп'ютера i технолопчного 10-контактного з'єднувача інтерфейсу JTAG, що встановлюється на платі виробу. Якщо на платі виробу встановлюється декілька ПЛІС з вбудованими системами програмування, то всі вони можуть програмуватися через один технологічний роз'єм. Для цього підпрограма Programmer має режим "Multi-Device" (на жаль, безкоштовні верей пакету цей режим не підтримують). Для програмування інших мікросхем необхідно додатково використовувати зовнішній програматор, який також може підключатися до СОМ- або LPT-порту.

Сервісні підпрограми

До складу САПР MAX+PLUS II, крім того, входять три сервісних підпрограми:

Design Doctor - підпрограма, призначена для перевірки коректності проекту з використанням емпіричних правил.

Message Processor - процесор повідомлень (рисунок 1.9), що забезпечує обробку, вивід на відображення i локалізацію (вказівка місця в проекті, до якого воно вноситься) повідомлень трьох типів: повідомлень про помилки "Error" попереджень "Warning''' i інформащйних повідомлень "Info". Причину виводу того або іншого повідомлення можна з'ясувати через опцію "Help on Message" процесора повідомлень.

Рисунок 1.9- В1кно повщомлень системи

За наявності повідомлень про помилки компіляція проекту неможлива до їx повного усунення. За наявності попереджень компіляція успішно завершується, проте наявність попередження свідчить про виявлення проблеми, яка може привести до невірної роботи пристрою. Тому вci попередження повинні бути ретельно проаналізовані з використанням "Help on Message", до з'ясування причин їx появи i подальшого усунення цих причин (або ігнорування попередження, що буває іноді можливе). Інформащйні пов1домлення потрібно тільки приймати до відома.

Hierarchy Display - підпрограма, що забезпечуе огляд iєpapxiчної структури проекту, який може складатися з безлічі складених в різних редакторах i згорнутих в символи проектів більш низьких рівнів, причому число рівнів не обмежується.

Рисунок 1.10 – Вікно ієрархії проекту

Основний проект (проект найвищого рівня) повинен бути створений в графічному редакторі, якщо проект мае тільки один рівень iєpapxii, то він може бути створений в будь-якому редакторі.

Po6oчі каталоги системи

Під час інсталяції пакету створюються два каталоги: каталог \MAXPLUS2, який містить вci підпрограми i біблютеки пакету, i каталог \MAX2WORK, який містить підкаталог \CHIPTRIP зі всіма файлами учбового проекту i ряд підкаталогів, що використовуються електронним довідником MAX+PLUS II Help. У цьому ж каталозі слід розміщувати i робочі каталоги створюваних проектів пристроїв. Необхідність створення окремих каталогів для кожного проекту, що розробляється, обумовлена тим, що в nponeci розробки проекту системою MAX+PLUS II створюється i підтримується багато файлів, що вносяться до поточного проекту. Перш за все це файл проекту (Project File), назва якого визначає назву проекту в цілому. Цей файл містить основну логіку i iєpapxiю проекту, оброблювану компілятором. Окрім того, створюється ряд допоміжних файлів, пов'язаних з проектом, але не є частиною логіки проекту. Велика частина допоміжних файлів створюється i автоматично поміщається в каталог проекту в npoцeci введения i комшляції проекту. Це перш за все файли призначень i конфпурації (.acf), файли звітів (.rpt), файли даних для функцюнального моделювання i часового аналізу (.snj), файли даних для

програмування (.pof) i ряд інших. Назви цих файлів завжди співпадають з назвою проекту. Деякі допоміжні файли створюються користувачем: наприклад, для виконання функцюнального моделювання створюється файл (.scj), що містить опис початкових i поточних станів вхідних сигналів (входів) i перелік виходів, для яких повинні бути визначені вихідні сигнали. Тому перед початком роботи над новим проектом слід створити робочий каталог проекту, при цьому 1м'я каталогу можна вибирати довільно.

Методичний приклад

Розглянемо роботу з граф1чним редактором САПР MAX+PLUS II на

прикладі схеми, зображено на рисунку 1.11.

Рисунок 1.11- Приклад цифрової схеми

В САПР MAX+PLUS II за допомогою меню File->New створюємо файл графічного редактора (рисунок 1.12).

Рисунок 1.13- Меню Enter Symbol

Рисунок 1.12 - Меню File->New.

У створений файл вводимо схему лабораторної роботи. Для введения елементів схеми скористаємося меню Enter Symbol (введения символу), яке викликається подвійним клацаниям лівої кнопки мищі. У вікні, що відкрилося

(рисунок 1.13) вибираємо необхідну бібліотеку примігивів (Symbol Libraries:), а в ній вибираємо потрібний елемент (Symbol Files:).

Після закінчення введения схеми зберігаємо файл в заздалегідь створену засобами Windows папку проекту (наприклад: \Labl), що розробляється, в робочому каталозі MAX+PLUS II: C:\max2work. Через меню File->Save As. (рисунок 1.14) зберігаємо схему під вибраним іменем (наприклад: lab1), при цьому розширення присвоюється автоматично.

Рисунок 1.14- Меню Save As

Після збереження необхідно ім'я файлу прив'язати до імені проекту - це робиться при виборі пункту Set Project to Current File в підменю Project меню File головного меню робочого вікна. Проводимо перевірку введеної схеми. Для цього запускаемо піктограму Save&Check. За відсутності помилок введения проекту, його можна компілювати. Відкриваємо вікно компілятора (рисунок 1.15) i натискаємо кнопку START. Якщо не був призначений тип ПЛІС для компіляції проекту, то система сама призначає відповідний тип мікросхеми i повідомляє користувача у вікні Messages - Compiler (рисунок 1.16).

20

Рисунок 1.15 - Вікно компілятора

Рисунок 1.16 - Вікно повідомлень компілятора

Перевірку роботи схеми робимо за допомогою сигнального редактора (Waveform Editor).