Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
2 семестр / ЭИС3.2.docx
Скачиваний:
0
Добавлен:
29.06.2023
Размер:
1.27 Mб
Скачать

2.2 Формула

Ниже представлен рисунок 14 с формулами схемы для демультиплексора 1-8, приведенные к базису ИЛИ-НЕ.

Рисунок 14 – Формулы схемы для демультиплексора 1-8

2.3 Функциональная схема

На рисунке 15 представлена функциональная схема демультиплексора 1-8, составленная на основе формул из прошлого пункта.

Рисунок 15 – Функциональная схема демультиплексора 1-8

2.4 Моделирование функциональной схемы

На рисунке 16 - 17 представлены результаты временного и функционального моделирования демультиплексора 1-8.

Рисунок 16 – Результат временного моделирования демультиплексора 1-8

Рисунок 17 – Результат функционального моделирования демультиплексора 1-8

Результат временного и функционального моделирования совпадает со значениями из таблицы истинности, представленной в таблице 3.

2.5 Код на HDL

Ниже представлен код описания демультиплексора 1-8 наVHDL.

library IEEE; use IEEE.STD_LOGIC_1164.ALL;

use IEEE.std_logic_unsigned.all;

use ieee.numeric_std.all;

entity dmx1_8 is

port(

E: in std_logic;

x: in std_logic;

a: in std_logic_vector(2 downto 0);

D: out std_logic_vector(7 downto 0));

end;

architecture synth of dmx1_8 is

begin

process(all) begin

D <= "00000000";

if(E='1') then

D(to_integer((unsigned(a)))) <= x;

end if;

end process;

end;

2.6 Схема из rtl viewer для кода hdl

На рисунке 18 представлена схема из RTL viewer для кода, написанном на VHDL. Данная cхема соответствует функциональной схеме, составленной ранее.

Рисунок 18 – Схема из RTL viewer для кода демультиплексора 1-8

2.7 Моделирование устройства, описанного кодом hdl

На рисунке 19 - 20 представлены результаты временного и функционального моделирования демультиплексора 1-8.

Рисунок 19 – Результат временного моделирования демультиплексора 1-8, описанного на VHDL

Рисунок 20 – Результат функционального моделирования демультиплексора 1-8, описанного на VHDL

Результат временного и функционального моделирования совпадает со значениями из таблицы истинности, представленной в таблицей 3.

2.8 Таблица истинности масштабируемого устройства

Необходимо было произвести масштабирование демультиплексора 2-16 на основе демультиплексора 1-8. В таблице 4 представлена таблица истинности демультиплексора 2-16.

Таблица 4 – Таблица истинности для демультиплексора 2-16

Демультиплексор 2-16

Входы

Выходы

Е

a2

a1

a0

x1

x0

D15

D14

D13

D12

D11

D10

D9

D8

D7

D6

D5

D4

D3

D2

D1

D0

0

x

x

x

x

x

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

0

0

0

b8

b0

0

0

0

0

0

0

0

b8

0

0

0

0

0

0

0

b0

1

0

0

1

b9

b1

0

0

0

0

0

0

b9

0

0

0

0

0

0

0

b1

0

1

0

1

0

b10

b2

0

0

0

0

0

b10

0

0

0

0

0

0

0

b2

0

0

1

0

1

1

b11

b3

0

0

0

0

b11

0

0

0

0

0

0

0

b3

0

0

0

1

1

0

0

b12

b4

0

0

0

b12

0

0

0

0

0

0

0

b4

0

0

0

0

1

1

0

1

b13

b5

0

0

b13

0

0

0

0

0

0

0

b5

0

0

0

0

0

1

1

1

0

b14

b6

0

b14

0

0

0

0

0

0

0

b6

0

0

0

0

0

0

1

1

1

1

b15

b7

b15

0

0

0

0

0

0

0

b7

0

0

0

0

0

0

0

Соседние файлы в папке 2 семестр