Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Перевод английской статьи.doc
Скачиваний:
1
Добавлен:
09.07.2019
Размер:
171.01 Кб
Скачать

Глава 9 : Функциональная верификация

О чём эта глава

В типичном процессе разработки интегральных схем (IC), функциональная верификация вносит уверенность, что внедрение соответствует спецификации.

Из-за быстрого роста размера дизайна и сложности, функциональная верификация стала одним из ключей горлышка бутылки дизайнерского процесса. Для примера, Bailey 2002 сообщалось, что процесс функциональной верификации потребляет больше 70% усилий разработки , и это число может продолжать расти. Функциональная верификация критична, потому что неопознанная ошибка в проекте , может принести значительные финансовые потери для компании. Возврат процессоров Pentium из-за знаменитого FDIV ошибки, для примера, стоил Intel больше чем 450 миллионов долларов в 1995г. Следовательно, эффективные стратегии и техники верификации стали незаменимыми для процесса разработки, для обеспечения высокого качества верификации.

Эта глава начинается с обзора базовых концептов функциональной верификации и её главных технологических процессов. Текущие проблемы объясняются, чтобы помочь читателям понять сложность функциональной верификации. Тем временем, современное разработка обычно следует принципам иерархизма, разбивая сложную систему на множество компонентов. Каждая граница разбиения называется уровнем. На каждом из этих уровней представлено краткое рассмотрение верификации.

Для оценки качества верификации, разработаны метрики покрытий, измеряющие объемы планируемых задач верификации. Метрики покрытий могут быть разделены на две категории: структурные(конструктивные) и функциональные. Структурные метрики покрытий рассчитывают число покрытий на базисе конкретных структурных представлений, таких как линии(строчка или шина) и ветви(ветвеления), в модели описания аппаратных средств и являются самыми популярными единицами измерения (показателями). Функциональные метрики, с другой стороны, фокусируются на семантике или на значении разработки модели описания аппаратных средств. В этой главе, будут подробно рассмотрены различные метрики структурных покрытий.

Верификация на основе моделирования, самый часто используемый подход в функциональной верификации. Моделирование основано на испытательных стендах(лабораторных испытаниях). В типичной задаче верификации, испытательные стенды сопровождаемые моделью описания проекта, разрабатываются и включают стимулы ввода и ожидают выходного с In a typical verification task, testbenches accompanied with a design description model are developed and include input stimuli and expected output responses by the design. Эффективность моделирования определяет эффективность проверки и следовательно иметь компактные и высококачественные стимулы критично для этого метода..Альтернативой для верификации, на основе моделирования, будет формальная верификация. Формальная верификация основана на технике математического аргументирования для верификации разработки. Существует два типа методов формальной верификации: Первый - для проверки определённых свойств схемы, а другой доказывает, что две модели схемы эквивалентны. Первый называется проверка свойств, а последний, часто упоминается, как проверка эквивалентности. В конце этой главы некоторые из этих техник формальной верификации будут представлены, как дополнительные материалы.