Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

Microsoft Word - лаб раб 1

.pdf
Скачиваний:
16
Добавлен:
09.06.2015
Размер:
1.36 Mб
Скачать

Лабораторная работа №1

Применение программируемых логических интегральных схем (ПЛИС) для синтеза цифровых устройств

1. Цель работы

Целью данной работы является практическое ознакомление с программным пакетом Quartus II. Освоением принципов проектирования программируемых логических интегральных схем (ПЛИС), а также, изучение процесса конфигурирования ПЛИС и отладки цифровых схем, с помощью, лабораторного стенда.

2. Краткие теоретические сведения

ПЛИС

Программируемые логические интегральные схемы – ПЛИС являются одними из самых перспективных элементов цифровой схемотехники. ПЛИС представляет собой кристалл, на котором расположено большое количество простых логических элементов (рисунок 1.1). Изначально эти элементы не соединены между собой. Соединение элементов (превращение разрозненных элементов в электрическую схему) осуществляется с помощью электронных ключей, расположенных в этом же кристалле. Электронные ключи

Рисунок 1.1. Схематическое изображение расположения логических элементов в кристалле ПЛИС

управляются специальной памятью, в ячейки которой заносится код конфигурации цифровой схемы. Таким образом, записав в память ПЛИС определенные коды, можно собрать цифровое устройство любой степени сложности (это зависит от количества элементов на кристалле и параметров ПЛИС). В отличие от микропроцессоров, в ПЛИС можно организовать алгоритмы цифровой обработки на аппаратном (схемном) уровне. При этом быстродействие цифровой обработки резко возрастает. Достоинствами технологии проектирования устройств на основе ПЛИС являются:

минимальное время разработки схемы (нужно лишь занести в память ПЛИС конфигурационный код);

в отличие от обычных элементов цифровой схемотехники здесь отпадает необходимость в разработке и изготовлении сложных печатных плат;

быстрое преобразование одной конфигурации цифровой схемы в другую (замена кода конфигурации схемы в памяти);

Система автоматизированного проектирования Quartus II

Программное обеспечение Altera Quartus II предоставляет полную мультиплатформенную среду проектирования, которая может быть легко перенастроена под конкретные требования. Это идеальная среда для проектирования на основе ПЛИС законченных систем на кристалле (SOPS). Программное обеспечение Quartus II включает в себя средства для всех фаз проектирования с применением ПЛИС как FPGA, так и CPLD структур. На рис.1.2 представлена общая структурная схема проектирования в среде Quartus II.

Рисунок 1.2. Структурная схема проектирования в среде Quartus II

Дополнительно Quartus II предоставляет возможность использовать графический интерфейс пользователя, EDA, или интерфейс командной строки в каждой фазе разработки схемы. Вы можете использовать какой-либо интерфейс для всего процесса проектирования или различные настройки для каждой отдельной фазы разработки.

Создание проекта

Под термином «проект» в рамках пакета Quartus II понимается набор файлов, связанных с проектируемым модулем, в котором выделяются две группы файлов:

логические файлы, описывающие алгоритм работы устройства (Design Files);

вспомогательные файлы(Ancilary Files).

Проект может содержать один логический файл либо несколько логических файлов, образующих иерархическое описание проектируемого модуля. При иерархическом описании среди множества логических файлов различают:

файл верхнего уровня в иерархии описаний (Top-level Design File);

файлы нижних (одного или нескольких) уровней иерархии (Low-level Design files).

Вфайле верхнего уровня задается архитектура модуля, определяется набор модулей, входящих в его состав как компоненты, и их взаимосвязь. Описания этих модулей содержатся в логических файлах более низкого уровня иерархии. В их состав, в свою очередь, в виде компонентов также могут входить модули, описания которых приведены в логических файлах еще более низкого уровня иерархии, и т. д.

Имя проекта должно совпадать с именем модуля верхнего уровня в иерархии описаний, а, следовательно, и именем логического файла, в котором хранится его описание. Имена модулей нижних уровней иерархии, в свою очередь, должны совпадать с именами файлов, в которых они описаны.

Работа в среде Quartus II созданием проекта. Прежде всего, необходимо создать папку для хранения файлов проекта. Имя папки желательно вводить латинскими буквами. Затем следует запустить программу Quartus II. Открыв пакет, Quartus II выбираем из меню File пункт New Project Wizard - мастер создания новых проектов. В открывшемся окне нажимаем кнопку Next и попадаем в окно для задания текущей директории проекта. Заполняем три строки как, показано на рисунке 1.3. В данном случае текущий проект будет назван LAB1. Проект будет создан в папке LAB на рабочем столе. Нажимаем кнопку Finish

иподтверждаем создание проекта.

Рисунок 1.3. Меню назначения текущей директории проекта

Графический редактор Quartus II

Графический редактор предназначен для ввода принципиальной схемы устройства. Для создания файла, который будет содержать принципиальную схему устройства (после создания проекта) следует выполнить команду New меню File. В появившемся диалого-

вым окне на вкладке Devise Design File следует выбрать тип файла Block Diagram/Schematic File и нажать OK (см. рисунок 1.4).

Рисунок 4.1. Диалоговое окно выбора типа файла проекта

В результате откроется окно графического редактора (см. рисунок 1.5) с файлом Block1.bdf, в котором создается схема.

Рисунок 1.5. Окно графического редактора.

После создания файла проекта становится активной панель инструментов, расположенная слева от рабочей области окна. Назначение различных инструментов приведено на рисунке 1.6. Для ввода элемента схемы следует «щелкнуть» по Symbol Tool. В результате откроется окно с библиотеками элементов.

Рисунок 1.6. Панель инструментов графического редактора

Следует обратить внимание, что графические изображения элементов схемы в системе Quartus II отличаются от обозначений, принятых в России. Таблица, в которой приведены российские изображения и соответствующие им обозначения элементов в системе Quartus II, приведена в приложении 1.

Например, для ввода логического элемента «И» следует выбрать библиотеку primitives/logic. После размещения компонентов на схеме следует разместить входные (input) и выходные (output) контакты, которые находятся в папке primitives/pin. Соединение компонентов производится следующим образом: переместить курсор в одну из двух точек схемы, которые нужно соединить, нажать левую кнопку мыши и, не отпуская ее, перемешать курсор ко второй из соединяемых точек. Далее следует переименовать входные и выходные контакты. Для этого дважды щелкаем левой кнопкой мыши по имени контакта и редактируем его. В результате получим схему, изображенную на рисунке 1.7.

Рисунок 1.7. Схема после переименования входов и выходов.

Текстовый редактор Quartus II

Текстовый редактор является средством ввода структурного и (или) пове-денческого описания проектируемого устройства с помощью языков описания аппаратуры и обеспечивает работу с файлами, имеющими следующие расширения: .txt, .v, .vlg, .vqm, .vh,

.verilog, .vhd, .vhdl, .edf, .edif, .edn, .tdf, .inc, .tcl, .c, .cpp, .h, .s, .asm.

В своей дальнейшей работе нам часто придется использовать текстовый файл проекта (*.tdf) на языке AHDL (язык описания аппаратных средств фирмы ALTERA).

Для создания файла, который будет содержать описание архитектуры проекта, следует выполнить команду New меню File. В появившемся диалоговым окне (см. рисунок 1.8) на вкладке Devise Design File следует выбрать тип файла AHDL File и нажать OK.

Рисунок 4.8. Диалоговое окно выбора типа файла проекта

Редактор временных диаграмм Quartus II

Моделирование (Simulation) позволяет определить реакцию разработанного проекта на заданное входное воздействие, то есть позволяет убедиться в правильности его функционирования.

Исходными данными для моделирования являются внешние воздействия, заданные в виде некоторого входного вектора (набора кодовых слов). Подсистема моделирования (Simulator) пакета Quartus II, в соответствие с алгоритмом проекта, синтезирует выходные сигналы, соответствующие его реакции на заданное входное воздействие, которая очень близка к реакции запрограммированной ПЛИС. В типовых задачах разработчик задает наборы входных векторов и анализирует полученные в результате моделирования выходные сигналы.

Создание файла (*.vwf), содержащего временные диаграммы, выполняется в следующей последовательности:

в меню «Файл» (File) выбирается команда «Новый» (New);

в открывшемся окне «Новый» (New) (рис. 1.9) выбрать закладку «Другие файлы» (Other Files) в которой выделить строку «Файл вектора временных диа-

грамм» (Vector Waveform Files) и нажать кнопку «ОК»;

Рисунок 4.9. Диалоговое окно выбора типа файла проекта

открывается пустое окно редактора временных диаграмм с именем по умол-

чанию Waveform1.wvf (Рис. 1.10);

Рисунок 1.10. Окно редактора временных диаграмм

в окне «Редактировать» (Edit) выбрать команду «Время окончания» (End Time) и в открывшемся окне (рис. 1.11) указать время окончания моделирования (длительность интервала моделирования и единицу измерения времени). Нажать «ОК»;

Рисунок 1.11. Выбор времени моделирования

созданный файл необходимо сохранить, используя команду «Записать как» (Save As) меню «Файл» (File). Программа автоматически предложит сохранить файл с именем, совпадающим с именем файла верхнего уровня проекта, присвоив ему расширение .vwf;

для завершения процесса создания файла необходимо нажать кнопку «Сохра-

нить» (Save).

Далее в созданный файл необходимо ввести входные и выходные сигналы, присутствующие в проекте. Для этого необходимо:

в окне «Редактировать» (Edit) выбрать строку «Вставить узел или шину» (Insert Node or Bas);

в открывшемся окне (рис. 1.12) с названием «Вставить узел или шину» (Insert Node or Bas) нажать кнопку «Система поиска узлов »(Node Finder);

Рисунок 1.12. Окно назначения сигналов

открывается окно системы поиска узлов проекта (Node Finder), позволяющее ввести в файл временных диаграмм узлы текущего проекта (Рис. 1.13).

Рисунок 1.13. Окно системы поиска узлов проекта

для отображения оговоренных условиями поиска выводов необходимо нажать кнопку «Список» (List);

в левой части окна под заголовком «Найденные узлы проекта» (Nodes Found) появляется список найденных узлов проекта;

для того чтобы найденные узлы были введены в файл временных диаграмм, их необходимо переместить в правое подокно с именем «Выбранные узлы»

(Selected Nodes).

после перемещения в правое подокно всех необходимых при моделировании узлов необходимо нажать кнопку «ОК». Появляется окно «Вставить узел или шину» (Insert Node or Bas) в котором тоже необходимо нажать кнопку «ОК». После этого в файле временных диаграмм проекта появляются оси для всех вышеуказанных сигналов (рис. 1.15).

Рисунок 1.14. Окно вставки узла или шины

Рисунок 1.15. Окно временных диаграмм с областью назначенных сигналов

Компиляция проекта

Компилятор является средством преобразования информации, которая содержится в файлах проекта, в информацию о соединениях между логическими ячейками внутри ПЛИС, а также средством оптимизации проектируемого устройства по критерию минимума требуемых ресурсов ПЛИС (в частности, ее ячеек) и критерию максимального быстродействия. Файлы проекта – это файлы, которые содержат структурное и/или поведенческое описание проектируемого устройства и в связи с этим предназначены для обработки компилятором.

Перед осуществлением компиляции произведем выбор типа кристалла. Для этого выбираем пункт Device из меню Assignments. В открывшемся окне в строке Family семейство MAX3000A, а в окне Available Devices выберем конкретное устройство EPM3128ATC100-7. Нажатием кнопки OK подтвердим выбор кристалла.

Для запуска компилятора необходимо в меню «Обработка» (Processing) выбрать команду «Запустить компиляцию» (Start Compilation). Начинается процесс компиляции. При этом появляются окна: «Модуль» (Module) в котором отражается процесс прохождения компиляции и фиксируется затраченное на это время и «Отчет о компиляции» (Compilation Report) (рис 48).

Процесс компиляции выполняется в фоновом режиме. Поэтому при длительной компиляции возможна работа с другими окнами пакета Quartus II или другими запущенными под операционной системой программами.

После завершения компиляции на экране появляется соответствующая надпись, свидетельствующая о завершении процесса и количестве найденных ошибок и информационных сообщений (см. рис. 1.16).

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]