Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

ShporaCSch2011feb13_2324

.pdf
Скачиваний:
15
Добавлен:
12.02.2016
Размер:
673.7 Кб
Скачать

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ

НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ “ЛЬВІВСЬКА ПОЛІТЕХНІКА”

Кафедра ЕОМ

ПРИКЛАДИ ВИКОРИСТАННЯ ТЕОРІЇ ЦИФРОВИХ АВТОМАТІВ ТА КОМП’ЮТЕРНОЇ ЛОГІКИ.

БАЗОВІ КОМБІНАЦІЙНІ ЕЛЕМЕНТИ

Методичні вказівки до практичних занять

з дисципліни «Прикладна теорія цифрових автоматів» та «Комп’ютерна логіка»

для студентів базового напрямку 6.050102 «Комп’ютерна інженерія»

Затверджено на засідання кафедри

«Електронні обчислювальні машини». Протокол № 1 від 31.08.2010 р.

Львів – 2010

Методичні вказівки «Приклади використання теорії цифрових автоматів та комп’ютерної логіки. Базові комбінаційні елементи» до практичних занять з дисциплін «Прикладна теорія цифрових автоматів» та «Комп’ютерна логіка» для студентів бакалаврату 6.050102 «Комп'ютерна інженерія» /Укл. В.С.Глухов. Львів:

НУ"ЛП", 2010. - 110 с.

Укладач

В.С.Глухов, к.т.н.

Відповідальний за випуск

В.С.Глухов, к.т.н.

Рецензенти: В.А.Голембо, к.т.н.,

Р.Б.Дунець, д.т.н.

Вступ Даний набір прикладів призначений для використання на практичних

заняттях з дисциплін «Прикладна теорія цифрових автоматів» та «Комп’ютерна логіка». Можливе їхнє використання на лабораторних заняттях з цих предметів, для організації поточного контролю на лекціях, а також на практичних і лабораторних заняттях з інших предметів, які вивчають студенти базового напряму «Комп’ютерна інженерія».

Дані задачі можуть входити до білетів модульного контролю, екзаменаційних білетів, білетів комплексних контрольних робіт, білетів бакалаврського іспиту,

білетів вступних іспитів для навчання в магістратурі для спеціальностей

«Комп’ютерні системи та мережі», «Спеціалізовані комп’ютерні системи», «Системне програмування». Також даний набір задач може використовуватися для організації курсових робіт та зрізів знаннь із зазначених вище навчальних дисциплін.

Варіанти завдань утворюються зміною чисел, які зустрічаються у формулюванні питань.

Усі приклади містять лише один з великої кількості інших варіантів відповіді.

Для підготовки методичних вказівок використовувалися засоби студентської версії пакету Active-HDL version 7.2.1644 Student Edition, яка доступна для вільного завантаження за адресою:

http://www.aldec.com/Products/Evaluation.aspx?productevaluationid=da3b4fe8- 427d-4945-919d-53bd8eef6d27

Використання пакету Active-HDL наклало деякі обмеження на зображення функціональних схем та позначення елементів та сигналів на цих схемах:

позиційне позначення цифрових елементів на схемах складається з двох літер

DD з наступним порядковим номером елемента на схемі;

інверсія позначається маленькою літерою n перед назвою сигнала (x = nx);

усі елементи одного типу мають однакові схемотехнічні символи;

індекси в назвах сигналів пишуться таким же шрифтом як і назви сигналів;

позначення вхідних та вихідних сигналів відповідають правилам мови VHDL;

позначення вхідних та вихідних контактів на схемах не відповідають вимогам ЄСКД.

Можливі також інші відхилення від позначень, які визначаються Державними стандартами України.

Наведені у прикладах VHDL-описи у своїй більшості автоматично згенеровані засобами пакету Active-HDL із графів та за допомогою вбудованого в пакет Active-HDL генератора ядер IP Core Generator.

Основу прикладів складають прості автомати (1 вхідний сигнал, 1 вихідний сигнал, 4 внутришніх стани).

У прикладах більша увага приділена D-тригерам, що спрацьовують по фронту. Дані тригери найбільш часто використовуються як елементи пам’яті цифрових автоматів. Тільки для них (а також для найпростіших RS-тригерів)

наведена схема електрична функціональна на елементах монобазиса І-НЕ. Для інших тригерів такі схеми не наведені, але вони відомі.

Основна увага приділена реалізації комбінаційних частин автоматів на елементах базиса Буля (І, АБО, НЕ). Для автоматів Мура наведена реалізація комбінаційних частин на елементах монобазису І-НЕ. Також наведена реалізація автоматів Мілі та Мура у вигляді мікропрограмних автоматів (коли комбінаційна частина реалізується на ПЗП). Наведені приклади реалізації комбінаціних схем на дешифраторах і мультиплексорах. Також наведений синтез більш складного автомата Мура із збільшеною кількістю входів (2), виходів (3) та внутришніх станів

(6) як автомата на жорсткій логіці (на елементах базиса Буля) так і як мікропрограмного автомата (на ПЗП).

Вважається, що користуючись даними методичними вказівками, студенти зможуть синтезувати довільні автомати Мура та Мілі на будь-якій елементіній базі комбінаційної частини (базис Буля, монобазис І-НЕ, монобазис АБО-НЕ,

мультиплексори, дешифратори, ПЗП) і пам’яті (D-тригери, T-тригери, JK-тригери).

У Примітки до відповідей винесені додаткові відомості, які необов’язково можуть бути присутні у відповідях студентів.

Зауваження та пропозиції надсилати на адресу glukhov@polynet.lviv.ua і

вказувати тему листа: «Приклади використання теорії цифрових автоматів та комп’ютерної логіки».

1 Загальні відомості про цифрові схеми

1.1 Транзисторні схеми елементів монобазисів Будь-яка цифрова схема може бути представлення у вигляді структури, що

складається з елементів монобазисів І-НЕ та АБО-НЕ. Вирази, які записані у базисі Буля, можуть бути записані у одному з монобазисів. Схеми, які складаються з елементів базису Буля, можуть бути трансформовані у схеми з елементів монобазисів.

Елементи монобазисів найчастіше реалізуються на транзисторах за КМОН-

технологією (на КМОН-транзисторах). Цифровий скінчений автомат дозволяє реалізувати будь-який алгоритм. Тобто, для рішення якоїсь задачі, для якої відомий алгоритм рішення, необхідно мати якусь кількість КМОН-транзисторів.

Рис. 1.1 Схемотехнічний символ, схема елемента 2АБО-НЕ за КМОН-технологією та його таблиця істинності

Рис. 1.2 Схемотехнічний символ, схема елемента 2І-НЕ за КМОН-технологією та його таблиця істинності

Для елементів монобазисів І-НЕ та АБО-НЕ існує залежність між кількість транзисторів NТр та кількістю входів NВх у елемента монобазиса: кількість транзисторів удвічі більша за кількість входів: NТр 2NВх .

1.2 Загальні відомості про цифрові автомати

Рис. 1.3 Загальна структурна схема цифрового автомата

Рис. 1.4 Структурна схема автомата Мура

Рис. 1.5 Структурна схема автомата Мілі

1.3 Рекомендована послідовність синтезу цифрових автоматів

1.3.1. Синтез абстрактного автомата

1.3.1.1Синтез алгоритма роботи автомата.

1.3.1.2Вибір структури автомата (Мура або Мілі).

1.3.1.3Фіксація алгоритма у вигляді графа.

1.3.2. Синтез структурного автомата

1.3.2.1Вибір елементної бази комбінаційної частини.

1.3.2.2Вибір елементної бази пам’яті автомата.

1.3.2.3Вибір способу кодування вхідних та вихідних сигналів.

1.3.2.4Вибір способу кодування внутришніх станів автомата.

1.3.2.5Створення таблиці переходів автомата.

1.3.2.6Створення таблиці виходів автомата.

1.3.2.7Мінімізація формул для сигналів збудження тригерів автомата.

Фіксація результатів у вигляді диз’юнктивної нормальної форми (ДНФ).

Для деяких структурних автоматів (у яких комбінаційна частина реалізується на дешифраторах, мультиплексорах, а також для мікропрограмних автоматів, у яких комбінаційна частина реалізується на ПЗП) даний етап мінімізації непотрібний.

1.3.2.8 Мінімізація формул для виходів автомата. Фіксація результатів у вигляді диз’юнктивної нормальної форми (ДНФ).

Для деяких структурних автоматів (у яких комбінаційна частина реалізується на дешифраторах, мультиплексорах, а також для мікропрограмних автоматів, у яких комбінаційна частина реалізується на ПЗП) даний етап мінімізації непотрібний.

1.3.3.Синтез пам’яті автомата.

1.3.4.Синтез комбінаційної частини автомата.

2 Постійний запам’ятовуючий пристрій

2.1 Використання ПЗП для обчислення значення функцій Визначити і підкреслити 16-ий код, що буде читатися з десяткової адреси 13

ПЗП, який використовується для обчислення результату S = 2M + 3N, де M і N -

дворозрядні двійкові числа, розряди яких m0, m1, n0, n1 заведені на адресні входи a0,…,a3 ПЗП і 0-ві розряди – молодші.

Відповідь:

D16 = 11012;

02 – низький рівень на часовій діаграмі;

12 – високий рівень на часовій діаграмі.

Таблиця 2.1 – Визначення значень чисел M та N

M=1, N=3, S = 2M + 3N=2*1+3*3=2+9=1110=B16=10112.

Mmax=3, Nmax=3, Smax = 2Mmax + 3Nmax=2*3+3*3=6+9=1510=F16=11112

найбільший результат містить 4 біта.

Рис. 2.1 Схема використання ПЗП Примітка 1. Суміщена таблиця істинності та прошиття ПЗП

Таблиця 2.2 – Таблиця істинності та прошиття ПЗП

 

 

 

Адреса

 

 

 

 

 

 

Розрахунок

 

 

 

Дані

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

A

 

 

a3

 

a2

a1

 

a0

 

 

 

 

 

 

 

 

D

 

 

 

A3

 

A2

A1

 

A0

N

M

2M + 3N=S

 

 

 

 

 

 

(адреса

A10

 

 

 

 

 

 

 

 

(дані

 

n1

 

n0

m1

 

m0

 

s3

s2

s1

s0

 

ПЗП)

 

 

 

 

 

 

 

S16

ПЗП)

 

 

 

N

 

M

 

 

 

 

D1

D0

D1

D0

 

 

 

 

 

 

 

 

 

 

0

0

 

0

 

0

0

 

0

0

0

2 * 0 + 3 *0 = 0

0

0

0

0

0

0

1

1

 

0

 

0

0

 

1

0

1

2 * 1 + 3 *0 = 2

2

0

0

1

0

2

2

2

 

0

 

0

1-

 

0

0

2

2 * 2 + 3 *0 = 4

4

0

1

0

0

4

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

3

3

 

0

 

0

1-

 

1

0

3

2 * 3 + 3 *0 = 6

6

0

1

1

0

6

4

4

 

0

 

1

 

0

1

0

2 * 0 + 3 *1 = 3

3

0

0

1

1

3

 

 

0

 

5

5

 

0

 

1

0

 

1

1

1

2 * 1 + 3 *1 = 5

5

0

1

0

1

5

6

6

 

0

 

1

1

 

0

1

2

2 * 2 + 3 *1 = 7

7

0

1

1

1

7

7

7

 

0

 

1

1

 

1

1

3

2 * 3 + 3 *1 = 9

9

1

0

0

1

9

 

8

8

 

1

 

0

0

 

0

2

0

2 * 0 + 3 *2 = 6

6

0

1

1

0

6

9

9

 

1

 

0

0

 

1

2

1

2 * 1 + 3 *2 = 8

8

1

0

0

0

8

 

A

10

 

1

 

0

1-

 

0

2

2

2 * 2 + 3 *2 = 10

A

1

0

1

0

A

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

B

11

 

1

 

0

1-

 

1

2

3

2 * 3 + 3 *2 = 12

С

1

1

0

0

С

 

C

12

 

1

 

1

 

0

3

0

2 * 0 + 3 *3 = 9

9

1

0

0

1

9

 

 

 

0

 

 

D

13

 

1

 

1

0

 

1

3

1

2 * 1 + 3 *3 = 11

B

1

0

1

1

B

 

E

14

 

1

 

1

1

 

0

3

2

2 * 2 + 3 *3 = 13

D

1

1

0

1

D

 

F

15

 

1

 

1

1

 

1

3

3

2 * 3 + 3 *3 = 15

F

1

1

1

1

F

Примітка 2. Часова діаграма роботи ПЗП

Рис. 2.2 Часова діаграма перевіряння роботи ПЗП Примітка 3. VHDL-опис ПЗП (назва цього ПЗП в описі – rom2n3m).

library IEEE;

use IEEE.std_logic_1164.all; entity rom2n3m is

port (

A0 : in std_logic;

A1 : in std_logic;

A2 : in std_logic;

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]