Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
cris_diss_21_07_last.docx
Скачиваний:
9
Добавлен:
18.12.2018
Размер:
10.16 Mб
Скачать

4.6. Выводы и рекомендации

1. Для существенного уменьшения времени проектирования и отладки HDL-кода цифровых систем на кристаллах разработан модуль верификации, использующий ассерционно-ориентированные модели, методы тестирования и графовые модели программного продукта в качестве компонентов. При этом решены следующие задачи: 1) Имплементация в программный код метода анализа столбцов и структурно-аналитической модели диагностирования HDL-модели цифровых систем на кристаллах. 2) Программная имплементация метода анализа строк таблицы функциональных нарушений на основе механизма ассерций для повышения глубины диагностирования HDL-кода. 3) Аппаратная реализация матричного xor-взаимодействия объектов и компонентов для решения задач диагностирования функциональных нарушений. 4) Верификация программно-аппаратных компонентов системы верификации и диагностирования на 10 практических примерах программных кодов, цифровых проектов на кристаллах.

2. Разработана архитектура мультиматричного процессора, ориентированного на повышение быстродействия процедур встроенного диагностирования функциональных нарушений в программном или аппаратном изделии, которая отличается использованием параллельных логических векторных операций and, or, xor, slc операций, что дает возможность существенно (х10) повысить быстродействие диагностирования одиночных и/или кратных дефектов (функциональных нарушений).

3. Предложена инфраструктура верификации и диагностирования HDL-кода проектируемых цифровых систем на кристаллах, которая имеет четыре процесс-модели для тестирования, диагностирования, оптимизации и исправления ошибок, замкнутые в цикл, что дает возможность уменьшить время отладки кода в процессе создания проекта.

4. Разработаны аппаратные модули матричного выполнения инструкций процессора, которые дают возможность одновременного выполнения четырех логических операций над сожердимым таблиц, что на порядок повышает быстродействие процессов диагностирования функциональных нарушений HDL-кода.

5. Синтезированы блоки диагностирования и минимизации встроенной инфраструктуры тестирования, основанные на параллельном выполнении координатных операций в матричных или регистровых структурах, что дает возможность повысить быстродействие процесса поиска функциональных нарушений HDL-кода и отладки программного продукта в целом.

6. Синтезирован операционный модуль для регистрового выполнения векторных логических операций, используемых при диагностировании и минимизации мощности подозреваемых операторов HDL-кода для встроенной инфраструктуры тестирования и верификации, что дает возможность повысить быстродействие процесса поиска дефектов в HDL-моделях цифровых систем на кристаллах.

7. Практическая реализация моделей и методов верификации была интегрирована в среду моделирования Riviera компании Aldec. Введенные в систему модули ассерций и диагностирования усовершенствовали существующий процесс верификации, что дало возможность на 15% уменьшить общее время проектирования цифрового изделия.

Заключение

В результате выполненных исследований в рамках диссертационной работы была решена актуальная научно-практическая задача существенного (20%) уменьшения времени и стоимости верификации цифровых систем на кристаллах путем создания инфраструктуры встроенного тестирования HDL-кода, использующей механизм ассерций и логический мульти-матричный процессор, что обеспечивает повышение качества проекта за счет увеличения структурной сложности программно-аппаратных средств диагностирования функциональных нарушений. По существу автор предложил: структурную модель отношений на множестве из четырех основных компонентов технической диагностики (функциональность, устройство, тест, дефекты), которая характеризуется полным xor-взаимодействием всех вершин графа и транзитивной обратимостью каждой триады отношений, что позволяет определить и классифицировать пути решения практических задач, включая синтез тестов, моделирование неисправностей и поиск дефектов. Кроме того, предложена новая модель программного продукта в форме графа блочных транзакций, а также группа методов диагностирования функциональных нарушений, которые характеризуются технологичностью подготовки данных и процессе поиска некорректных блоков, что дает возможность существенно уменьшить время проектирования цифровых систем на кристаллах. Методы поиска функциональных нарушений отличаются параллелизмом выполнения векторных операций над строками таблицы ФН, что дает возможность существенно (х10) повысить быстродействие вычислительных процедур, связанных с диагностированием и восстановлением работоспособности программных и аппаратных продуктов. Предложенная архитектура мультиматричного процессора ориентирована на повышение быстродействия процедур встроенного диагностирования функциональных нарушений в программном или аппаратном изделии, которая отличается использованием параллельных логических векторных операций and, or, xor, slc операций, что дает возможность существенно (х10) повысить быстродействие диагностирования функциональных нарушений. Все теоретические и практические разработки вошли в состав инфраструктуры верификации и диагностирования HDL-кода проектируемых цифровых систем на кристаллах, которая имеет четыре процесс-модели для тестирования, диагностирования, оптимизации и исправления ошибок, замкнутые в цикл, что дает возможность уменьшить время отладки кода в процессе создания проекта. Практическая реализация моделей, методов и средств тестирования была интегрирована в среду моделирования Riviera компании Aldec. Введенные в систему модули ассерций и диагностирования усовершенствовали процесс верификации, что дало возможность на 15% уменьшить общее время проектирования цифрового изделия.

Проведенные эксперименты на 10 промышленных проектах показали значительное повышение производительности процессов верификации (50%) и глубины диагностирования функциональных нарушений HDL-кода. При этом условия, предъявляемые к входному описанию спецификации проекта, не отличаются от существующих стандартов. Вся дополнительная информация для диагностирования HDL-кода генерируется стандартными средствами в процессе моделирования проекта.

Автором получены следующие научные и практические результаты:

  1. Разработана новая аналитическая модель процессов тестирования и верификации, которая характеризуется использованием бета-метрики, что дает возможность сформулировать все существующие задачи технической диагностики программно-аппаратных компонентов цифровых систем на кристаллах.

  2. Создана новая структурная модель HDL-кода в форме транзакционного графа, которая характеризуется взаимодействием программных блоков, механизма ассерционных высказываний и функциональным покрытием, что дает возможность осуществлять поиск семантических ошибок в процессе моделирования кода.

  3. Предложена группа модифицированных методов диагностирования функциональных нарушений HDL-кода на основе механизма ассерций, которая отличается параллельным выполнением векторных логических операций над матрицей неисправностей, что дает возможность существенно уменьшить время анализа результатов моделирования при поиске дефектов.

  4. Представлена усовершенствованная архитектура логического ассоциативного мультиматричного процессора с ограниченной системой команд, которая отличается своей ориентацией на встроенное сервисное обслуживание функциональных блоков цифровых систем на кристаллах, что дает возможность повысить производительность процессов верификации и диагностирования.

  5. Предложенные модели и методы тестирования, а также архитектура специализированного мультиматричного процессора доведены до практической реализации в виде программно-аппаратных компонентов инфраструктуры, интегрированной с системой Riviera (Aldec), что предоставило возможность создавать эффективную инфраструктуру верификации и диагностирования HDL-кода цифровых систем на кристаллах.

  6. Выполненная интеграция программных HDL-моделей и аппаратной реализации предложенных методов диагностирования функциональных нарушений позволила существенно (20%) сократить временные затраты, необходимые для моделирования и верификации на стадии тестирования цифровых изделий.

  7. В совокупности созданная автором инфраструктура тестирования HDL-кода предоставляет возможности для аппаратной обработки программно-аппаратных моделей проектируемого изделия, что позволяет существенно (50%) уменьшить время диагностирования и коррекции ошибок программного кода в процессе итерационной отладки.

  8. Предложенные автором модели и методы, составляющие основу программно-аппаратной инфраструктуры тестирования и верификации на основе ассерций существенно (20%) повышают тестопригодность цифровой системы в целом, что позволяет уменьшить временные затраты для генерирования тестов, повысить его функциональную полноту и качество проектируемого изделия.

  9. Полученные в процессе исследований научные выводы и положения являются обоснованными и достоверными. Обоснованность подтверждается результатами экспериментальных исследований, обработкой 10 реальных моделей систем на кристаллах, ориентированных на промышленное использование. Результаты экспериментов подтверждают высокую эффективность диагностирования функциональных нарушений в HDL- моделях, а так же существенное повышение производительности программно-аппаратной системы тестирования по сравнению с существующими академическими аналогами.

  10. Достоверность научных выводов подтверждается интеграцией разработанной инфраструктуры тестирования в программный комплекс Riviera компании Aldec (США). Результаты диссертации в составе программно-аппаратного комплекса внедрены в организациях: 1) ОАО НИИРИ, Харьков (справка о внедрении от 21.05.2011), 2) Харьковский национальный университет радиоэлектроники, в учебном процессе (акт о внедрении от 30.05.2011).

Приложение

Листинги программ и акты о внедрении результатов диссертации

Приложение A. Программа для аппаратной реализации мультиматричного процессора

А.1 Package Unit

А.2 Top Module: multi_array_processor

А.3 Execution Unit (Управляющее устройство)

А.4 Программа для XOR, OR и AND инструкции

А.5 Программа для SLC_UNIT(Сдвиг влево с уплотнением)

А.6 Sixteen 16-bit 4-to-1 Multiplexer(Мультиплексор)

А.7 16-bit 4-to-1 Multiplexer

А.8. 1-bit 4-to-1 Multiplexer

А.9 Testbench

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]