Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 9 варик / 5laboratornaya_данные_удалены

.pdf
Скачиваний:
9
Добавлен:
07.06.2022
Размер:
831.81 Кб
Скачать

11

Продолжение таблицы 2.1

 

 

 

 

Выходы

 

 

Число

№Сигнала

 

 

 

 

 

в 10ой

 

 

 

 

 

 

 

R

Q4

Q3

 

Q2

Q1

Q0

 

 

системе

 

 

 

 

 

 

 

 

 

23

0

1

0

 

1

1

1

23

 

 

 

 

 

 

 

 

 

 

 

24

0

1

1

 

0

0

0

24

 

 

 

 

 

 

 

 

 

 

 

25

0

1

1

 

0

0

1

25

 

 

 

 

 

 

 

 

 

 

 

26

0

1

1

 

0

1

0

26

 

 

 

 

 

 

 

 

 

 

 

27

0

1

1

 

0

1

1

27

 

 

 

 

 

 

 

 

 

 

 

28

0

1

1

 

1

0

0

28

 

 

 

 

 

 

 

 

 

 

 

29

0

0

0

 

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

30

0

0

0

 

0

0

1

1

 

 

 

 

 

 

 

 

 

 

 

31

0

0

0

 

0

1

0

2

 

 

 

 

 

 

 

 

 

 

 

32

1

0

0

 

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

33

0

0

0

 

0

0

1

1

 

 

 

 

 

 

 

 

 

 

 

12

2.2 ФУНКЦИОНАЛЬНАЯ СХЕМА

На рисунке 2.2.1 представлена функциональная схема синхронного суммирующего счетчика, построенного на Т-триггерах, регулируемого задним фронтом.

Рисунок 2.2.1 – Функциональная схема синхронного счётчика

13

2.3 МОДЕЛИРОВАНИЕ

На рисунке 2.3.1 представлен результат моделирования в режиме

Timing. На рисунке 2.3.2 представлен результат моделирования в режиме

Functional.

Рисунок 2.3.1 - Результат моделирования в режиме Timing

Рисунок 2.3.2 - Результат моделирования в режиме Functional

Наблюдаются задержки на выходах, которые появляются по причине того, что на обработку информации каждым логическим элементом затрачивается некоторое время. В функциональном моделировании нет задержек по причине того, что в нем всё является идеализированным и логические функции обрабатываются моментально.

14

2.4 КОД НА HDL

На рисунке 2.4.1 представлен код на VHDL синхронного счётчика.

Рисунок 2.4.1 – Код схемы на VHDL

15

2.5 СХЕМА ИЗ RTL VIEWER ДЛЯ КОДА HDL

На рисунке 2.5.1 представлена схема из RTL Viewer данного счётчика.

Рисунок 2.5.1 – Схема из RTL Viewer

16

2.6 МОДЕЛИРОВАНИЕ УСТРОЙСТВА, ОПИСАННОГО КОДОМ

HDL

На рисунке 2.6.1 представлен результат моделирования в режиме

Timing. На рисунке 2.6.2 представлен результат моделирования в режиме

Functional.

Рисунок 2.6.1 - Результат моделирования в режиме Timing

Рисунок 2.6.2 - Результат моделирования в режиме Functional

Наблюдаются задержки на выходах, которые появляются по причине того, что на обработку информации каждым логическим элементом затрачивается некоторое время. В функциональном моделировании нет задержек по причине того, что в нем всё является идеализированным и логические функции обрабатываются моментально.

17

3 ДЕЛИТЕЛЬ ЧАСТОТЫ

3.1 НЕОБХОДИМЫЕ РАСЧЁТЫ ДЛЯ ПОСТРОЕНИЯ СХЕМЫ

Tвх = 20 нс – Частота на выходе

Fвых = 1923077 Гц – Частота на выходе Твых = 1/Fвых = 520 нс – Период на выходе

M = Твых/Твх = 26 – Модуль счёта

Т0 = Т1 = Tвых/ 2 = 260 нс – Длительность нуля и длительность единицы

18

3.2 ФУНКЦИОНАЛЬНАЯ СХЕМА

На рисунке 3.2.1 представлена функциональная схема делителя

частоты.

Рисунок 3.2.1 – Функциональная схема делителя частоты

19

3.3 МОДЕЛИРОВАНИЕ

На рисунках 3.3.1 – 3.3.3 показаны результат моделирования с задержками, требуемые для исследования длительности единицы и длительности нуля.

Рисунок 3.3.1 – Результат моделирования для исследования в режиме Timing

Рисунок 3.3.2 – Результат моделирования для исследования в режиме Timing

Рисунок 3.3.3 – Результат моделирования для исследования в режиме Timing

Длительность единицы: 525, 5 нс – 265,5 нс = 260 нс Длительность нуля: 785,5 нс – 525,5 нс = 260 нс

Данные длительности совпадают в пределах погрешностей с теми,

которые были получены в результате расчётов.

На рисунках 3.3.4 – 3.3.6 представлены результат моделирования без задержек, требуемые для исследования длительности единицы и длительности нуля.

20

Рисунок 3.3.4 – Результат моделирования для исследования в режиме

Functional

Рисунок 3.3.5 – Результат моделирования для исследования в режиме

Functional

Рисунок 3.3.6 – Результат моделирования для исследования в режиме

Functional

Длительность единицы: 520 нс – 260 нс = 260 нс Длительность нуля: 780 нс – 520 нс = 260 нс

Данные длительности совпадают с теми, которые были получены в результате расчётов.