Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Скачиваний:
69
Добавлен:
24.11.2017
Размер:
1.32 Mб
Скачать

Арифметико-логические основы

исхемотехника электронных вычислительных машин

Лабораторный практикум

«Комбинационные схемы»

для подготовки бакалавров по ООП НИУ по направлению 09.03.01 "Информатика и вычислительная техника" (факультет МПиТК),

Профиль "Элементы и устройства микропроцессорных ин- формационно-управляющих систем"

Краткие теоретические сведения о среде разработки MAX+PLUS II

САПР Multiple Array MatriX Programmable Logic User System

(MAX+PLUS II) предоставляет пользователю многоплатформенную, архитектурно-независимую среду разработки, легко адаптирующуюся к нуждам разработчика. Программное обеспечение MAX+PLUS II (рис.1) является интегрированным пакетом для синтеза логики на базе серий программируемых логических интегральных схем фирмы Altera (MAX

500, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000, FLEX 10K и др).

MAX+PLUS II предоставляет полный спектр возможностей для разработки: множество методов проектирования, мощный логический синтез, компиляцию, функциональное и временное моделирование (симуляцию), связанное моделирование (симуляцию) нескольких устройств, временной анализ, автоматическое обнаружение ошибок, программирование, конфигурирование и проверку ПЛИС.

MAX+PLUS II обрабатывает файлы языка AHDL (Altera Hardware Description Language), стандартные файлы EDIF, Verilog HDL, VHDL,

схемотехнические файлы OrCad. Кроме этого, MAX+PLUS II читает файлы Xilinx и сохраняет файлы в формате SDF (Standard Delay Format).

Рис.1. Среда разработки MAX+PLUS II

2

MAX+PLUS II имеет богатый графический интерфейс, дополненный иллюстрированной справочной системой. Полный пакет MAX+PLUS II включает 11 интегрированных приложений (рис.2).

Рис.2. Приложения MAX+PLUS II

Многие команды, такие как открытие файлов, выполняются несколькими приложениями MAX+PLUS II, поэтому, изучив одно приложение, легко понять другие. Конструкторские редакторы и вспомогательные редакторы также выполняют многие действия сходным образом. Можно комбинировать несколько типов файлов разработки в иерархическом проекте, выбирая наилучший формат для каждого функционального блока. Программа имеет интуитивно понятный интерфейс.

3

Процесс разработки.

Процесс разработки в упрощённой форме может быть представлен следующим образом.

1.Создаётся новый файл схемы либо иерархия из нескольких таких файлов в любой комбинации с помощью редакторов MAX+PLUS II (графического, текстового, редактора временных диаграмм).

2.Устанавливается название проекта по имени файла наивысшего

уровня.

3.Определяется семейство ПЛИС, используемых в проекте, причём можно либо назначить ПЛИС самостоятельно, либо позволить это выполнить компилятору.

4.Открывается окно компиляции MAX+PLUS II и с помощью кнопки Start производится компиляция проекта. При желании можно подключить модуль Timing SNF Extractor, в результате чего создаётся файл списка соединений для временного моделирования и временного анализа.

5.Если проект скомпилирован успешно, можно произвести симуляцию (моделирование) и временной анализ.

Для того чтобы произвести временной анализ, необходимо открыть окно Анализатора Времен MAX+PLUS II (Timing Analyzer), выбрать режим анализа и нажать кнопку Start.

Для того чтобы произвести симуляцию, необходимо создать вектор входных сигналов либо в файле Simulator Channel File (.scf) с помощью редактора временных диаграмм (Waveform Editor), либо в файле Vector File (.vec) с помощью текстового редактора. Затем следует открыть окно моделирования (симуляции) MAX+PLUS II и нажать кнопку Start.

6.Открывается окно программирования MAX+PLUS II. Программируемая ПЛИС либо вставляется в программатор (Matser Programming Unit MPU), либо к ней подсоединяется один из кабелей-загрузчиков:

BitBlaster, ByteBlaster или FLEXDownLoad.

7.Нажимается кнопка ProgRAM для того, чтобы запрограммировать ПЛИС, конфигурация которых определяется внутренним ПЗУ (EPROM или EEPROM), либо нажимается кнопка Configure для конфигурации ПЛИС, выполненной по технологии SRAM.

4

Запуск MAX+PLUS II.

Запуск MAX+PLUS II производится одним из следующих двух способов:

-двойным щелчком левой кнопки мыши на значке MAX+PLUS II;

-набором MAX2win <Enter> в командной строке.

В результате открывается окно менеджера MAX+PLUS II (рис.3.)

Рис.3. Окно менеджера MAX+PLUS II

5

Менеджер MAX+PLUS II.

Окно менеджера MAX+PLUS II открывается автоматически при запуске MAX+PLUS II. С помощью меню MAX+PLUS II, показанного на рис.4, можно запускать все приложения MAX+PLUS II.

Рис.4. Меню MAX+PLUS II в окне менеджера

Команды, доступные из меню менеджера MAX+PLUS II, также доступны и во всех других приложениях MAX+PLUS II. Например, команды, позволяющие открывать файл, компилировать и моделировать текущий проект или перейти к другому проекту.

6

Приложения MAX+PLUS II.

Пакет MAX+PLUS II состоит из 11 приложений и менеджера. Одновременно активными могут быть несколько приложений, и можно переключаться между ними с помощью одного щелчка мыши или с помощью команды меню. В то же время можно выполнять одно из фоновых приложений - компилятор, симулятор, анализатор времени или программатор. Одинаковые команды в разных приложениях облегчают задачу разработчика.

В табл.1 описаны приложения MAX+PLUS II и показаны соответствующие им значки.

Таблица 1

 

Приложения MAX+PLUS II

Значок

Приложение

Окно иерархии показывает текущую иерархию файлов в виде дерева с «ответвлениями», представляющие файлы нижнего уровня. Беглого взгляда достаточно, чтобы определить, содержит ли данный файл схему, текст или временную диаграмму, какие файлы

втекущий момент открыты, а также какие служебные файлы доступны в текущем проекте. Можно открывать и закрывать файлы, назначать им ресурсы прямо

вдереве иерархии.

Графический редактор позволяет осуществлять сборку схемы в режиме WYSIWYG (what-you-see-is- what-you-get: что видишь, то и получаешь). В качестве базовых блоков можно использовать примитивы (логические элементы, буфера и т.п.), мегафункции и макрофункции фирмы Altera либо самостоятельно разработанные примитивы.

Редактор примитивов позволяет редактировать существующие и создавать новые примитивы.

7

Продолжение

Значок

Приложение

 

Текстовый редактор позволяет создавать и редакти-

 

ровать текстовые файлы проекта, написанные на язы-

 

ках AHDL, VHDL и Verilog HDL. С помощью тексто-

 

вого редактора можно также создавать, просматривать

 

и редактировать файлы в кодировке ASCII, используе-

 

мые другими приложениями MAX+PLUS II. Хотя

 

можно создавать файлы для описанных выше языков

 

и с помощью других редакторов, редактор

 

MAX+PLUS II позволяет использовать преимущества

 

справочной системы, системы выделения цветом раз-

 

ных синтаксических конструкций, а также шаблонов

 

AHDL, VHDL и Verilog HDL.

 

Редактор временных диаграмм выполняет двойную

 

роль: служит инструментом для описания поведения

 

схем, а также используется для ввода тестовых сигна-

 

лов и просмотра результатов моделирования (симуля-

 

ции).

 

Редактор общей топологической структуры позво-

 

ляет назначать логическим элементам выводы ПЛИС

 

и ресурсы логических ячеек в графической среде.

 

Можно редактировать расположение выводов ПЛИС и

 

индивидуально назначать сигналы логическим ячей-

 

кам в блоках логических ячеек. Также можно про-

 

сматривать результаты последней компиляции.

 

Компилятор обрабатывает проекты, предназначен-

 

ные для устройств Altera Classic, MAX 5000, MAX

 

7000, MAX 9000, FLEX 6000, FLEX 8000, FLEX 10K и

 

др. Компилятор выполняет большинство задач авто-

 

матически. Тем не менее, можно настроить выполне-

 

ние любого шага компиляции необходимым образом.

 

Симулятор позволяет проверить выполнение логиче-

 

ских операций и произвести временной анализ работы

 

схемы. Доступны следующие режимы: функциональ-

 

ное моделирование, временное моделирование и свя-

 

занное моделирование нескольких устройств.

8

Окончание.

 

Значок

Приложение

Анализатор времен анализирует производительность

логической схемы после того, как она была синтези-

рована и оптимизирована компилятором.

 

Программатор позволяет программировать, конфи-

гурировать,

проверять и тестировать устройства.

Обработчик сообщений показывает ошибки, предупреждения и информационные сообщения, позволяет автоматически перейти к источнику возникновения сообщения в файлах проекта, вспомогательных файлах и файлах топологии.

На рис.5 показан экран с окнами иерархии, редактора временных диаграмм и справочной системы MAX+PLUS II.

Рис.5. Несколько приложений MAX+PLUS II и справочная система

9

Конструкторские файлы, вспомогательные файлы и проекты.

Прежде чем приступить к работе с MAX+PLUS II, следует понять разницу между файлами схем, вспомогательными файлами и проектами.

Конструкторские файлы.

Конструкторский файл - это графический, текстовый файл или файл временных диаграмм, созданный с помощью соответствующих редакторов MAX+PLUS II, либо другой стандартный схемотехнический или текстовый файл (EDIF, VHDL или Verilog HDL netlist). Этот файл содержит логику схем для проектов MAX+PLUS II и компилируется с помощью компилятора. Компилятор может автоматически обрабатывать следующие файлы проекта:

-графические (.gdf);

-текстовые формата языка AHDL (.tdf);

-временных диаграмм (.wdf);

-текстовые формата языка VHDL (.vhd);

-текстовые формата языка Verilog (.v);

-схемотехнические OrCad (.sch);

-входные файлы формата EDIF (.edf);

-списка соединений Xilinx (.xnf);

-конструкторские файлы Altera (.adf);

-конечных автоматов (.smf).

Вспомогательные файлы.

Вспомогательные файлы - это файлы, связанные с проектом MAX+PLUS II, но не являющиеся частью дерева иерархии проекта. Большинство вспомогательных файлов не содержат схемной логики. Некоторые из этих файлов создаются автоматически приложениями MAX+PLUS II, другие создает сам пользователь. Примерами вспомогательных файлов являются файлы назначений и конфигурации (.acf), файлы примитивов (.sym), файлы отчетов (.rpt) и файлы векторов (.vec).

Проект.

Проект состоит из всех файлов в иерархии разработки, включая вспомогательные файлы ввода и вывода. Именем проекта является имя файла самого высокого уровня в иерархии проекта, без расширения. MAX+PLUS II производит компиляцию, анализ времён и программирование только для одного проекта, хотя можно редактировать файлы,

10

Соседние файлы в папке Учебники и методички