Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

IEEE 1076 standard.VHDL language reference manual.2000

.pdf
Скачиваний:
47
Добавлен:
23.08.2013
Размер:
1.53 Mб
Скачать

IEEE

 

Std 1076, 2000 Edition

IEEE STANDARD VHDL

R

RANGE attribute, 13.9, 14.1 range constraints

bounds

for floating point types, 3.1.4 for integer types, 3.1.2

for physical types, 3.1.3 elaboration of, 2.3.1.3

globally static, 7.4.2

in subtype indications, 3.1 locally static, 7.4.1 syntax, 3.1

where used, 3.1.2, 3.1.3, 3.1.4, 4.2

ranges

bounds, 3.1 globally static, 7.4.2 index, 3.2.1

locally static, 7.4.1 null, 3.1

order, 3.1 syntax, 3.1 undefined, 3.2.1 where used, 3.2.1

read-only mode. See: file types, operations. REAL type

described, 3.1.4.1

REAL type. See also: literals—real. record types

aggregates, 7.3.2 described, 3.2.2 elaboration of, 12.3.1.2

implicit file operations for, 3.4.1 scope of, 10.2

subprogram parameters of, 2.1.1.1 syntax, 3.2.2

where used, 3.2

records

elements of, 6.3

index ranges of array types, 3.2.1.1 relations

syntax, 7.1 where used, 7.1

report statements described, 8.3 syntax, 8.3

where used, Clause 8 reserved words, 0.2.1

described, 13.9 resolution functions

described, 2.4

for resolved signals, 4.3.1.2 portability issues, Annex C

references to overloaded subprograms, 2.3, 10.5 restrictions with allocators, 7.3.6

usage, 4.2 where used, 4.2

resolution limit, 3.1.3.1

282

Copyright © 2000 IEEE. All rights reserved.

 

IEEE

LANGUAGE REFERENCE MANUAL

Std 1076, 2000 Edition

return statements described, 8.12

restrictions, 8.12, 10.5 syntax, 8.12

where used, Clause 8, 8.12 REVERSE_RANGE attribute, 14.1 RIGHT attribute, 14.1

RIGHTOF attribute, 14.1

S

scalar types

described, Clause 3, 3.1, 3.2 implicit file operations for, 3.4.1 restrictions

on signals, 4.3.1.2 subprogram parameters of, 2.1.1.1

used as formal signal parameters, 2.1.1.2

scope

of block configurations, 1.3.1 of declarations, Clause 4, 10.2 of library clauses, 11.2 overlapping, 10.3

rules for elaboration, 12.3.1 secondary unit declarations

syntax, 3.1.3 where used, 3.1.3

selected signal assignments, 2.3.1 described, 9.5.2

syntax, 9.5.2 where used, 9.5

sensitivity clauses

application of rules for, 9.3, 9.5 described, 8.1

syntax, 8.1

where used, Clause 8, 8.1 sensitivity lists, 4.3.2

restrictions within process statements, 9.2 syntax, 8.1

where used, Clause 8, 8.1, 9.2 separators, 13.2

defined, 13.2 sequence of statements

syntax, Clause 8 where used, 8.8

sequential statements syntax, Clause 8

where used, 2.2, Clause 8, 9.2

sequential statements. See also: elaboration—dynamic, process statements. SEVERITY_LEVEL type, 8.3

where used, 8.3 shared variable declarations

described, 4.3.1.3 portability issues, Annex C syntax, 4.3.1.3

where used, 1.1.2, 1.2.1, 2.5, 2.6

Copyright © 2000 IEEE. All rights reserved.

283

IEEE

 

Std 1076, 2000 Edition

IEEE STANDARD VHDL

signal assignment statements, 4.3.1.2 described, 8.4, 8.4.1

drivers affected by, 8.4.1 drivers associated with, 12.6.1

in procedures outside of processes, 8.4.1 restrictions on types in, 8.4

syntax, 8.4 targets of

composite types, 8.4.1 scalar types, 8.4.1

where used, Clause 8, 9.5

signal assignment statements. See also: concurrent signal assignment statements, conditional signal assignments, selected signal assignments.

signal declarations described, 4.3.1.2 syntax, 4.3.1.2

where used, 1.1.2, 1.2.1, 2.5, 4.3.1 signal kind

syntax, 4.3.1.2 where used, 4.3.1.2

signal lists syntax, 5.3

where used, 5.3 signal transforms

described, 9.5, 9.5.1

where used, 9.5, 9.5.1, 9.5.2

signals

active, 12.6.2 associations

with formal parameters, 2.1.1.2 with formal ports, 4.3.2.2

basic, 12.6.2

bus, 2.1.1.2, 2.4, 4.3.2

denoted by concurrent procedure call statements, 9.3 drivers of, 2.1.1.2, 12.6.1

events on, 12.6.2

explicit, 2.2, 4.3.1.2, 12.6.4 when updated, 12.6.2

GUARD, 9.1, 9.3, 9.4, 9.5, 12.6

effect on simulation cycle, 12.6.4 when updated, 12.6.3

guarded, 2.1.1.2, 2.2, 4.3.1.2, 4.3.2, 5.3 elaboration of, 12.3.2.3 usage, 8.4.1

implicit, 2.2, 4.3, 9.1, 12.6.4

when updated, 12.6.2, 12.6.3 index ranges of, 3.2.1.1

initial values of, 4.3.1.2 quiet, 12.6.2

registers, 12.6.2

when updated, 12.6.2 resolved, 2.4, 4.2, 4.3.1.2 restrictions within blocks, 12.3 sources of, 4.3.1.2 terminology, 4.3.1.2 unresolved, 4.3.1.2, 12.3.2

used as subprogram parameters, 2.1.1.2

284

Copyright © 2000 IEEE. All rights reserved.

 

IEEE

LANGUAGE REFERENCE MANUAL

Std 1076, 2000 Edition

values

default, 4.3.1.2 driving, 12.6.2 effective, 12.6.2 in blocks, 12.3

propagation of, 2.3.1, 12.6.2 when updated, 4.3.2

where used, 4.3.2.1, Clause 8 signatures

described, 2.3.2 syntax, 2.3.2 usage, 6.6

where used, 4.3.3.1, 5.1, 6.6 signs. See: operators—sign operators. simple expressions, where used, 3.1 simple names, where used, 6.6 SIMPLE_NAME attribute, 14.1

simulation cycle. See: models, simulation of. slices

null, 6.5

of constants, 4.3.1.1 of objects, 4.3

specifications

defined, Clause 5

elaboration of, 12.3.2.1–12.3.2.3 STABLE attribute, 2.2, 4.3, 4.3.2, 12.6, 14.1 STANDARD package

contents of, 14.2

location in STD library, 11.2

usage, 0.2.2, 2.2, Clause 3, 3.1.1.1, 3.1.3.1, 3.2.1.2, 7.2, 7.5 statement transforms, 9.5

STRING type, 3.2.1.2, 4.3.1.4 where used, 8.3

string types. See also: literals—string. structural designs, 9.6

subaggregates. See: aggregates. subelements

of constants, 4.3.1.1 of objects, 4.3.1

of signals, 4.3.1.2 of variables, 4.3.1.3

terminology, Clause 3 usage, Clause 3

subprogram bodies

containing group declarations, 4.7 defined in package, 2.6 described, 2.2

elaboration of, 12.3.1.1 execution, 2.2

labels within, Clause 8 syntax, 2.2

usage, Clause 2

where used, 1.1.2, 1.2.1, 2.2, 2.6, 9.2 subprogram calls

object classes for, 2.1.1 recursive, 2.1

to overloaded subprograms, 2.3, 10.5 usage, 2.2

Copyright © 2000 IEEE. All rights reserved.

285

IEEE

 

Std 1076, 2000 Edition

IEEE STANDARD VHDL

subprogram declarations described, 2.1, 2.2

elaboration of, 12.3.1.1, 12.5 scope of, 10.2

syntax, 2.1 usage, 2.1, 2.2

where used, 1.1.2, 1.2.1, 2.2, 2.5, 2.6, 9.2 subprogram declarative part

syntax, 2.2 usage, 5.1 where used, 2.2

subprogram kind syntax, 2.2 usage, 2.2 where used, 2.2

subprogram specifications described, 2.2 scope of, 10.2 where used, 2.2

subprogram statement part syntax, 2.2

where used, 2.2 subprograms

as declarative regions, 10.1 conformance rules, 2.7 drivers in, 2.1.1.2

foreign, 2.2

of unconstrained array types, 3.2.1.1 overloaded, 2.3, 2.3.1

attributes of, 5.1 resolution of, 10.5 visibility rules for, 10.3

parents of, 2.2 usage, Clause 2

subtype declarations described, 4.2 elaboration of, 12.3.1.3 syntax, 4.2

where used, 1.1.2, 1.2.1, 2.2, 2.5, 2.6, 9.2 subtype indications

containing index constraints, 3.2.1.1 containing range constraints, 3.1 direction, 4.2

elaboration of, 12.3.1.3, 12.3.1.5, 12.5 of incomplete types, 3.3.1

syntax, 4.2

where used, 3.2.1, 3.3, 4.2, 4.3.1.1–4.3.1.4, 4.3.2, 4.3.3, 7.3.6 subtypes

base type of, 4.2 bounds, 2.1.1.1 checking, 8.4.1 conversions, 3.2.1.1, 8.12

with array variables, 8.5.1 designated, 3.3

direction, 2.1.1.1 globally static, 7.4.2 locally static, 7.4.1

of function results, 2.1 operations, Clause 3

286

Copyright © 2000 IEEE. All rights reserved.

 

IEEE

LANGUAGE REFERENCE MANUAL

Std 1076, 2000 Edition

static, 7.4 usage, Clause 3

SUCC attribute, 14.1 symbols

assignment (:=), 4.3.1.1–4.3.1.3, 4.3.2 box (<>)

in group template declarations, 4.6 in undefined ranges, 3.2.1

symbols. See also: characters, operators.

T

targets

array variables, 8.5.1 drivers for, 8.4.1 guarded, 9.5

of signal assignment statements, 8.4 of variable assignment statements, 8.5 syntax, 8.4

where used, 8.4, 8.5, 9.5.1, 9.5.2

terms

syntax, 7.1 where used, 7.1

TEXTIO package contents of, 14.3

location in STD library, 11.2 usage, 3.4.1

time resolutions, portability issues, Annex C TIME type, 3.1.3.1, 8.4.1

timeout clauses described, 8.1 syntax, 8.1 where used, 8.1

TRANSACTION attribute, 2.2, 4.3, 4.3.2, 12.6, 14.1 initial value of signals, 12.6.4

updating of signals having, 12.6.3 transactions

null, 8.4.1 transactions. See also: drivers. type conversions

as a basic operation, Clause 3 described, 7.3.5

implicit, 8.4, 8.5, 8.1.2, 10.5 in association lists

as actuals, 4.3.2.2 as formals, 4.3.2.2

restrictions

in signal associations, 4.3.2.2 on operands, 7.3.5

syntax, 7.3.5 usage

as globally static primaries, 7.4.2 as locally static primaries, 7.4.1

where used, 95

Copyright © 2000 IEEE. All rights reserved.

287

IEEE

 

Std 1076, 2000 Edition

IEEE STANDARD VHDL

type declarations

as declarative regions, 10.1 described, 4.1

elaboration of, 12.3.1.2 incomplete, 3.3.1 syntax of full, 4.1

where used, 1.1.2, 1.2.1, 2.2, 2.5, 2.6, 9.2 type marks

described, 4.2

in incomplete type declarations, 3.3.1 syntax, 4.2

where used, 2.3.2, 3.2.1, 4.2, 4.3.2.2, 4.4, 5.3, 7.3.5 type profiles, 2.3, 2.3.2

of enumeration literals, 3.1.1

types

anonymous, 3.1.2, 3.1.2.1, 3.1.3, 3.1.4, 4.1, 14.2

universal integer, 3.1.2, 3.2.1.1, 7.3.1, 7.3.5, 7.5, 8.8, 13.4, 14.2 universal real, 7.3.1, 7.3.5, 7.5, 13.4, 14.2

base type of, Clause 3, 4.1 character, 3.1.1.1

closely related, 7.3.5

compatibility with index constraints, 3.2.1.1 constraints, Clause 3

designated, 3.3 floating point, 7.5

in resolution functions, 2.4

in rules for overload resolution, 10.5 incomplete, 3.3.1

of expressions, 7.1 operations, Clause 3 portability issues, Annex C predefined

BIT, 14.2 BIT_VECTOR, 14.2 BOOLEAN, 14.2 CHARACTER, 14.2 FILE_OPEN_KIND, 14.2

FILE_OPEN_STATUS, 14.2 INTEGER, 14.2 NATURAL, 14.2 POSITIVE, 14.2

REAL, 14.2 SEVERITY_LEVEL, 14.2 STRING, 14.2

TIME, 14.2 terminology, 3.1

types. See also: names of specific type categories.

U

underlines, 13.3.1, 13.4.1, 13.4.2 universal types. See: types—anonymous.

288

Copyright © 2000 IEEE. All rights reserved.

 

IEEE

LANGUAGE REFERENCE MANUAL

Std 1076, 2000 Edition

use clauses

described, 10.4 scope of, 10.2 syntax, 10.4 usage, 2.5

with multiple mentions of a library unit, 11.3 with standard packages, 11.2

where used, 1.1.2, 1.2.1, 1.3, 1.3.1, 2.2, 2.5, 2.6, 9.2, 11.3

V

VAL attribute, 3.1.3, 14.1 VALUE attribute, 14.1 values

allowed as primaries, 7.1

conversion between abstract and physical, 3.1.3 variable assignment statements, 4.3.1.3

described, 8.5

restrictions on types in, 8.5 syntax, 8.5

where used, Clause 8 variable declarations

described, 4.3.1.3 syntax, 4.3.1.3

where used, 2.2, 4.3.1, 9.2 variables

default initial values, 4.3.1.3 explicit, 4.3.1.3

in kernel process, 12.6 index ranges of, 3.2.1.1 initial values of, 4.3.1.3 of access types, 3.3, 4.7

used as subprogram parameters, 2.1.1.1 where used, 4.3.2.2

variables. See also: shared variable declarations. visibility

by selection, 10.3 direct, 10.3 hidden, 10.3

of block configurations, 1.3.1 of entity declarations, 5.2.2

of entity declarative items, 1.1.2 of generic constants, 1.1.1.1

of identifiers, Clause 4

of items in package bodies, 2.6

of logical names in library clauses, 11.2 of overloaded subprograms, 2.3

of ports, 1.1.1.2

of predefined operations, 10.3 rules

for declarations, 10.3 for elaboration, 12.3.1 for identifiers, 10.3, 10.5

of order in which design units are analyzed, 11.4 within block configurations, 10.3

Copyright © 2000 IEEE. All rights reserved.

289

IEEE

Std 1076, 2000 Edition

W

wait statements described, 8.1 implicit, 9.2 syntax, 8.1 usage

with concurrent procedure call statements, 9.3 with concurrent signal assignment statements, 9.5

where prohibited, 8.1, 9.2 where used, 8.1

wave transforms syntax, 9.5.1

where used, 9.5.1 waveform elements

evaluation of, 8.4.1

null, restrictions on, 8.4.1, 9.5 syntax, 8.4.1

unaffected, 9.5 where used, 8.4

waveforms conditional

syntax, 9.5.1

where used, 9.5, 9.5.1 projected output

described, 12.6.2 updating, 8.4.1

selected

syntax, 9.5.2 where used, 9.5.2

syntax, 8.4

where used, 8.4, 9.5.1, 9.5.2 WAVES standard, Annex D

write-only mode. See: file types, operations.

290

Copyright © 2000 IEEE. All rights reserved.

Соседние файлы в предмете Электротехника