Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

Лабы / узлы лр2

.docx
Скачиваний:
6
Добавлен:
16.07.2022
Размер:
365.75 Кб
Скачать

Санкт-Петербургский государственный

электротехнический университет

«ЛЭТИ» им. В.И. Ульянова (Ленина)

Кафедра Вычислительной техники

отчет

по лабораторной работе №2

по дисциплине «Узлы и устройства СВТ»

Тема: Проектирование комбинационного узла на основе дешифратора и мультиплексора

Вариант - 3

Студенты гр. 8308

Шубинов Р. В.

Треско М.Ю.

Преподаватель

Бондаренко П.Н.

Санкт-Петербург

2021

Оглавление

Цель работы 3

Часть 1 3

Часть 2 7

Вывод 12

Цель работы

Получить практические навыки в использовании дешифратора и мультиплексора для воспроизведения произвольных логических функций.

Часть 1

1.1. Сначала функциональная схема, реализующая функцию из первой лабораторной работы, была представлена элементом, представляющим собой функциональную схему, реализованную в первой лабораторной работе. Потом функциональная схема той же функции была реализована при помощи дешифратора и мультиплексора. Функциональная схема, оформленная с учетом требований ГОСТ представлена на рисунке 1.

Рисунок 1. Функциональная схема

1.2. Далее схема узла была построена в САПР Quartus II. Схема узла, представленная соответствующим файлом проекта САПР Quartus II представлена на рисунке 2.

Рисунок 2. Схема узла

1.3. Далее было проведено функциональное и временное моделирование. Результаты функционального и временного моделирования представлены на рисунках 3 и 4 соответственно.

Рисунок 3. Функциональное моделирование

Рисунок 4. Временное моделирование

Выходные сигналы по трём выходам полностью совпадают со значениями заданной функции. Функциональное моделирование позволяет проверить выход функции перебором всех возможных значений функции, а временное моделирование при этом учитывает ещё и временные задержки по срабатыванию элементов (фронты выхода смещены относительно входа).

Часть 2

2.1. Построим таблицу истинности семисегментного индикатора, который отображает число в шестнадцатеричной системе, соответствующее двоичному числу, заданному четырьмя входными значениями. Таблица истинности семисегментного индикатора представлена в таблице 1.

Таблица 1. Таблица истинности семисегментного индикатора

Шестнадцатеричное число

x3

x2

x1

x0

D0

D1

D2

D3

D4

D5

D6

DP

0

0

0

0

0

1

1

1

1

1

1

0

0

1

0

0

0

1

0

1

1

0

0

0

0

0

2

0

0

1

0

1

1

0

1

1

0

1

0

3

0

0

1

1

1

1

1

1

0

0

1

0

4

0

1

0

0

0

1

1

0

0

1

1

0

5

0

1

0

1

1

0

1

1

0

1

1

0

6

0

1

1

0

1

0

1

1

1

1

1

0

7

0

1

1

1

1

1

1

0

0

0

0

0

8

1

0

0

0

1

1

1

1

1

1

1

0

9

1

0

0

1

1

1

1

1

0

1

1

0

2.2. Построим функциональную схему преобразователя 4-разрядного двоичного код в код семисегментного индикатора, оформив ее с учетом требований ГОСТ. Схема строится при помощи дешифраторов и элементов nor, так как в таблице истинности нулей меньше, чем единиц. Построенная функциональная схема представлена на рисунках 5 и 6.

Рисунок 5. Функциональная схема (часть 1)

Рисунок 6. Функциональная схема (часть 2)

2.2. Далее схему узла построим в САПР Quartus II. Схема узла представлена на рисунках 7, 8 и 9.

Рисунок 7. Схема узла (часть 1)

Рисунок 8. Схема узла (часть 2)

2.3. Описание процесса макетирования

При проведении макетного эксперимента проект был загружен на учебную плату. В первой части входные сигналы x[3..0] были подключены к движковым переключателям, а выходные - к светодиодной линейке. Во второй части выходные сигналы были подключены к выходам семисегментного индикатора. Затем путем перебора на переключателях всевозможных входных значений была проверена работоспособность схемы, реализующей семисегментный индикатор, и схемы, реализующей заданную в первой части функцию. Ошибок не обнаружено.

Вывод

При выполнении лабораторной работы получены практические навыки в использовании дешифратора и мультиплексора для воспроизведения произвольных логических функций. В первой части на дешифраторе и мультиплексоре реализована функция, заданная в первой лабораторной работе. Во второй части на основе дешифраторов реализован преобразователь 4-разрядного двоичного кода в код семисегментного индикатора. Спроектированные схемы работают корректно.

Соседние файлы в папке Лабы