Рисунок 2.6 – RTL Viewer представление
3 РЕГИСТР ТИПА PIPO
3.1 ТАБЛИЦА ИСТИННОСТИ
Ниже приведена таблица истинности 3.1 для регистра типа PIPO с
асинхронным сбросом.
Таблица 3.1 – Таблица истинности регистра типа PIPO
№ |
|
|
|
|
|
|
|
|
|
|
|
|
Входы |
|
|
|
|
|
|
|
|
|
|
|
|
|
|||
сигна |
D |
D |
D |
D |
|
D |
D |
|
D |
D |
R |
L |
P |
P |
P |
P |
|
P |
P |
P |
|
P |
|||||||
ла |
7 |
6 |
5 |
|
4 |
|
3 |
2 |
|
1 |
0 |
|
|
|
7 |
6 |
5 |
|
4 |
|
3 |
2 |
1 |
|
0 |
||||
1 |
a7 |
a6 |
a5 |
a4 |
|
a3 |
a2 |
|
a1 |
a0 |
0 |
|
0 |
|
x |
x |
x |
x |
|
x |
x |
x |
|
x |
|||||
2 |
b7 |
b6 |
b5 |
b4 |
|
b3 |
b2 |
|
b1 |
b0 |
0 |
|
0 |
|
x |
x |
x |
x |
|
x |
x |
x |
|
x |
|||||
3 |
|
x |
x |
x |
x |
x |
x |
x |
x |
0 |
1 |
|
c |
c |
c |
c |
c |
c |
c |
c |
|||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
7 |
6 |
5 |
|
4 |
|
3 |
2 |
1 |
|
0 |
||
4 |
|
x |
x |
x |
x |
x |
x |
x |
x |
1 |
x |
|
x |
x |
x |
x |
x |
x |
x |
x |
|||||||||
Продолжение таблицы 3.1 |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
||||||||
|
|
|
|
|
|
|
|
|
|
|
Выходы |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
||||
Q7 |
|
|
Q6 |
|
|
Q5 |
|
|
Q4 |
|
|
Q3 |
|
|
|
Q2 |
|
|
|
Q1 |
|
|
Q0 |
|
|||||
a7 |
|
|
a6 |
|
|
a5 |
|
|
a4 |
|
|
a3 |
|
|
|
a2 |
|
|
|
a1 |
|
|
a0 |
|
|||||
b7 |
|
|
b6 |
|
|
b5 |
|
|
b4 |
|
|
b3 |
|
|
|
b2 |
|
|
|
b1 |
|
|
b0 |
|
|||||
c7 |
|
|
c6 |
|
|
c5 |
|
|
c4 |
|
|
c3 |
|
|
|
c2 |
|
|
|
c1 |
|
|
c0 |
|
|||||
0 |
|
|
0 |
|
|
0 |
|
|
0 |
|
|
0 |
|
|
|
|
0 |
|
|
|
0 |
|
|
0 |
|
|
11
3.2 ФУНКЦИОНАЛЬНАЯ СХЕМА
Для данного задания необходимо реализовать синхронный сброс.
На рисунке 3.1 представлена функциональная схема заданного регистра типа PIPO.
Рисунок 3.1 – Функциональная схема универсального регистра
3.3 МОДЕЛИРОВАНИЕ
Было выполнено моделирование составленной функциональной схемы из пункта 3.2. На рисунке 3.2 изображен результат моделирования в режиме
Timing.
Рисунок 3.2 – Моделирование регистра типа PIPO в режиме Timing
12
На рисунке 3.3 представлен результат моделирования в режиме
Functional.
Рисунок 3.3 – Моделирование регистра типа PIPO в режиме Functional
По результатам моделирования можно сделать вывод, что схема
выполнена в соответствии с таблицей истинности регистра типа PIPO 3.1.
3.4 ОПИСАНИЕ С ПОМОЩЬЮ HDL
Ниже представлен код на SystemVerilog:
module pipo_sv (
input logic C, L, R, input logic [7:0] D, P, output logic [7:0] Q) ;
always_ff @(posedge C, posedge R) if (R) Q <= 8'b0;
else if (L) Q <= P; else Q <= D;
endmodule
На рисунке 3.4 представлено моделирование регистра типа PIPO в
режиме Timing.
13
Рисунок 3.4 – Моделирование регистра типа PIPO в режиме Timing
На рисунке 3.5 представлено моделирование регистра типа PIPO в
режиме Functional.
Рисунок 3.5 – Моделирование регистра типа PIPO в режиме Functional
На рисунке 3.6 представлено изображение схемы с помощью RTL
Viewer.
14
Рисунок 3.6 – RTL Viewer представление
15
Заключение
В результате выполнения лабораторной работы были изучены регистры, их принципы построения и работы.
Были реализованы и промоделированы следующие схемы:
-регистр типа SISO;
-универсальный регистр;
-регистр типа PIPO.
Отчет был оформлен согласно ОС ТУСУР 01–2021.
16