Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 12 варик / От_ЛР1_данные_удалены

.pdf
Скачиваний:
10
Добавлен:
07.06.2022
Размер:
463.87 Кб
Скачать

Элемент имеет входы X1 и X2 и выход Y. Достаточно хотя бы одного 0

на входе, чтобы на выходе получить 1.

4.4Код на HDL

На рисунке 4.2 представлен код на HDL.

Рисунок 4.2 – Устройства на языке описания аппаратуры

4.5Моделирование

На рисунке 4.3 представлено моделирование в режиме Timing.

Рисунок 4.3 – Моделирование (Timing)

На рисунке 4.4 представлено моделирование в режиме Functional.

Рисунок 4.4 – Моделирование (Functional)

11

На рисунках 4.5 и 4.6 будут представлены моделирования схем,

описанных на HDL, чтобы удостовериться, что схемы составлены идентично.

Рисунок 4.5 – Моделирование HDL (Timing)

Рисунок 4.6 – Моделирование HDL (Functional)

Моделирования соответствуют таблице истинности (для устройств).

5УСТРОЙСТВО 2ИЛИ-НЕ

5.1Таблица истинности

Втаблице ниже представлена таблица истинности для данного устройства.

Таблица 5.1 – Таблица истинности устройства 2ИЛИ-НЕ

X1

X2

Y

 

 

 

0

0

1

 

 

 

1

0

0

 

 

 

0

1

0

 

 

 

1

1

0

 

 

 

 

12

 

5.2Формула

Формула для описания этой схемы будет иметь вид: Y = ̅̅̅̅̅̅̅̅̅̅X1 ˅ X2.

5.3Функциональная схема

На рисунке 5.1 представлена схема устройства 2ИЛИ-НЕ.

Рисунок 5.1 – Схема устройства 2ИЛИ-НЕ

Элемент имеет входы X1 и X2 и выход Y. Достаточно хотя бы одного 1

на входе, чтобы на выходе получить 0.

5.4Код на HDL

На рисунке 5.2 представлен код на HDL.

Рисунок 5.2 – Устройства на языке описания аппаратуры

5.5Моделирование

На рисунке 4.3 представлено моделирование в режиме Timing.

Рисунок 5.3 – Моделирование (Timing)

13

На рисунке 5.4 представлено моделирование в режиме Functional.

Рисунок 5.4 – Моделирование (Functional)

На рисунках 5.5 и 5.6 будут представлены моделирования схем,

описанных на HDL, чтобы удостовериться, что схемы составлены идентично.

Рисунок 5.5 – Моделирование HDL (Timing)

Рисунок 5.6 – Моделирование HDL (Functional)

Моделирования соответствуют таблице истинности (для устройств).

6УСТРОЙСТВО ПО ВАРИАНТУ

6.1Таблица истинности

14

В таблице ниже представлена таблица истинности для данного

устройства.

Таблица 5.1 – Таблица истинности устройства по варианту

x

y

z

 

w

F

 

 

 

 

 

 

0

0

0

 

0

1

 

 

 

 

 

 

0

0

0

 

1

0

 

 

 

 

 

 

0

0

1

 

0

0

 

 

 

 

 

 

0

0

1

 

1

1

 

 

 

 

 

 

0

1

0

 

0

1

 

 

 

 

 

 

0

1

0

 

1

0

 

 

 

 

 

 

0

1

1

 

0

0

 

 

 

 

 

 

0

1

1

 

1

1

 

 

 

 

 

 

1

0

0

 

0

1

 

 

 

 

 

 

1

0

0

 

1

0

 

 

 

 

 

 

1

0

1

 

0

0

 

 

 

 

 

 

1

0

1

 

1

1

 

 

 

 

 

 

1

1

0

 

0

0

 

 

 

 

 

 

1

1

0

 

1

1

 

 

 

 

 

 

1

1

1

 

0

1

 

 

 

 

 

 

1

1

1

 

1

0

 

 

 

 

 

 

 

 

6.2

Формула

 

Формула для описания этой схемы будет иметь вид: F = ̅̅̅̅̅xΛy z w.

15

6.3Функциональная схема

На рисунке 6.1 представлена схема устройства по варианту.

Рисунок 6.1 – Схема устройства по варианту Элемент имеет входы x, y, z и w. и выход F.

6.4Код на HDL

На рисунке 6.2 представлен код на HDL.

Рисунок 6.2 – Устройства на языке описания аппаратуры

6.5Моделирование

На рисунке 4.3 представлено моделирование в режиме Timing.

Рисунок 5.3 – Моделирование (Timing)

На рисунке 5.4 представлено моделирование в режиме Functional.

16

Рисунок 5.4 – Моделирование (Functional)

На рисунках 6.5 и 6.6 будут представлены моделирования схем,

описанных на HDL, чтобы удостовериться, что схемы составлены идентично.

Рисунок 5.5 – Моделирование HDL (Timing)

Рисунок 5.6 – Моделирование HDL (Functional)

Моделирования соответствуют таблице истинности (для устройств).

17

Заключение

В процессе выполнения работы были изучены основные инструменты САПР Quartus II и построены схемы для элементов 2И, 2ИЛИ, 2искл.ИЛИ, 2И-

НЕ и 2ИЛИ-НЕ и схему по варианту.

Для каждой схемы была составлена таблица истинности, и результаты моделирования были сравнены с ней. Моделирования всех схем в режиме

Functional полностью совпадало со ответствующими таблицами.

Моделирование в режиме Timing выдавало небольшие задержки и ложные срабатывания, которые как раз таки и объясняются задержками в считывании и переключений состояний узлов.

18