Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 12 варик / От_ЛР4_ЭиС_данные_удалены

.pdf
Скачиваний:
10
Добавлен:
07.06.2022
Размер:
461.04 Кб
Скачать

3. ДВУСТУПЕНЧАТЫЙ D-ТРИГГЕР В БАЗИСЕ И-НЕ

3.1Таблица истинности

Втаблице 3.1 представлена таблица функционирования триггера s2-D.

Таблица 3.1 – Таблица функционирования s2-D

Входы

 

 

Выходы

Режим

 

 

 

 

 

 

 

D

 

C

Q(t)

 

Q(t+1)

 

 

 

 

 

 

 

 

x

 

0

0

 

0

Хранение

 

 

 

 

 

 

 

x

 

0

1

 

1

 

 

 

 

 

 

 

 

1

 

1

x

 

1

Установка в 1

 

 

 

 

 

 

 

0

 

1

x

 

0

Установка в 0

 

 

 

 

 

 

 

3.2Функциональная схема

На рисунке 3.1 представлена функциональная схема s2-D.

Рисунок 3.1 - Схема s2-D

3.3Формула

Ниже представлены формулы схемы для s2-D в базисе И-НЕ:

1( + 1) = (t) ∩ C(t)

11

1( + 1) = C(t) ∩ S1(t)

1( + 2) = S1(t + 1) ∩ Q1(t + 1)

1( + 2) = R1(t + 1) ∩ Q1(t + 1)

2( + 3) = Q1(t + 2) ∩ C(t + 2)

2( + 3) = Q1(t + 2) ∩ C(t + 2)

( + 4) = S2(t + 3) ∩ Q(t + 3)

( + 4) = R2(t + 3) ∩ Q(t + 3)

3.4Моделирование функциональной схемы

На рисунках 3.2–3.3 представлены результат моделирования s2-D в двух режимах.

Рисунок 3.2 - Результат моделирования s2-D (Timing)

Рисунок 3.3 - Результат моделирования s2-D (Functional) 12

Результат моделирования совпадает со значениями из таблицы функционирования, представленной в таблице 3.1.

3.5Код на HDL

На рисунке 3.4 представлен код описания s2-D на VHDL.

Рисунок 3.4 – Код описания s2-D на VHDL

3.6Схема из RTL viewer для кода HDL

 

На рисунке 3.5 представлена схема из RTL viewer для кода, написанном

на

VHDL.

Схема

соответствует

функциональной

схеме.

Рисунок 3.5 – Схема из RTL viewer для кода s2-D

3.7Моделирование устройства, описанного кодом HDL

13

На рисунках 3.6–3.7 представлены результаты моделирования s2-D,

описанного на VHDL.

Рисунок 3.6 - Результат моделирования s2-D (Timing)

Рисунок 3.7 - Результат моделирования s2-D (Functional)

Результат моделирования совпадает со значениями из таблицы функционирования, представленной в таблице 3.1.

3.8Исследование минимального порога длительности активного

уровня управляющего сигнала

На рисунке 3.8 представлен результат моделирования при длительности

активного уровня сигнала C = 0,6 нс.

14

Рисунок 3.8 - C = 0,6 нс

На рисунке 3.9 представлен уже результат при C = 0,5 нс.

Рисунок 3.9 - C = 0,5 нс Минимальный порог длительности – 0,6 нс.

15

Заключение

В ходе данной работы были изучены основные типы триггеров, такие как RS, JK, T, D-триггеры, их принципы построения и работы.

Были собраны и промоделированы в двух режимах (timing и functional)

схемы s1-JK, d-T, s2-D на холстах .bdf.

Были составлены таблицы функционирования для данных схем.

С помощью VHDL были описаны, а также промоделированы те же устройства.

Результаты моделирования каждой схемы соответствует её таблице функционирования.

16