Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

2 Семестр / Отчеты / 12 варик / От_ЛР3_ЭиС_данные_удалены

.pdf
Скачиваний:
10
Добавлен:
07.06.2022
Размер:
699.9 Кб
Скачать

2 ДЕМУЛЬТИПЛЕКСОР 1–8

2.1Таблица истинности

На приемной стороне канала передачи данных требуется выполнить обратную операцию – демультиплексирование. Эту операцию выполняют демультиплексоры, которые передают данные поступающие в последовательные моменты времени, из одного входного канал в один из нескольких каналов-приемников

Дешифратор со входом разрешения работы будет работать в режиме демультиплексора, если на вход разрешения работы Е подавать информационный сигнал, а на адресные входы подавать друг за другом коды

8 адресов приемников. Действительно, при единичном значении этого сигнала подача кодов адресов приведет к появлению действующего сигнала на соответствующем выходе, а при нулевом – нет. А это и соответствует передаче информационного сигнала в адресованный выходной канал. Таблица 2.1

отражает таблицу истинности для демультиплексора 1–8.

Таблица 2.1 – Таблица истинности демультиплексора 1–8.

 

 

Входы

 

 

 

 

 

Выходы

 

 

 

 

2

 

1

 

0

 

7

6

5

4

3

2

1

0

1

0

 

0

 

0

b0

0

0

0

0

0

0

0

b0

1

0

 

0

 

1

b1

0

0

0

0

0

0

b1

0

1

0

 

1

 

0

b2

0

0

0

0

0

b2

0

0

1

0

 

1

 

1

b3

0

0

0

0

b3

0

0

0

1

1

 

0

 

0

b4

0

0

0

b4

0

0

0

0

1

1

 

0

 

1

b5

0

0

b5

0

0

0

0

0

1

1

 

1

 

0

b6

0

b6

0

0

0

0

0

0

1

1

 

1

 

1

b7

b7

0

0

0

0

0

0

0

0

x

 

x

 

x

x

0

0

0

0

0

0

0

0

2.2Формула

По таблице истинности 2.1 составим формулы для выходов:

7 = 0

1

2

 

6 = ̅̅̅

 

 

 

0

1

2

 

11

 

5 =

̅̅̅

 

 

 

 

 

 

 

 

0

1

2

 

 

 

 

4

= ̅̅̅

̅̅̅

 

 

 

 

 

 

 

 

0

1

2

 

 

 

 

3 =

 

̅̅̅

 

 

 

 

 

 

 

0

1

2

 

 

 

 

2

= ̅̅̅

 

̅̅̅

 

 

 

 

 

 

 

0

1

2

 

 

 

 

1 =

̅̅̅

̅̅̅

 

 

 

 

 

 

 

0

1

2

 

 

 

 

0

= ̅̅̅

̅̅̅

̅̅̅

 

 

 

 

 

 

 

0

1

2

 

 

 

Базисным элементом является ИЛИ-НЕ, преобразуем полученные

формулы:

 

 

 

 

 

 

 

 

 

 

̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿̿

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

̅

 

̅̅̅

̅̅̅

7 =

 

 

2

=

̅̅̅

 

0

1

 

 

 

 

0

1

2

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅

 

 

̅̅̅

̅̅̅

 

 

 

6 =

 

 

 

 

 

 

0

1

2

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

5

 

̅

 

 

 

̅̅̅

 

 

 

= ̅̅̅

 

 

 

 

 

 

0

1

2

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅

 

 

 

̅̅̅

 

 

 

4 =

 

 

 

 

 

 

0

1

2

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

3

 

̅

 

 

̅̅̅

 

 

 

 

= ̅̅̅

 

 

 

 

 

 

0

1

2

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅

 

 

̅̅̅

 

 

 

 

2 =

 

 

 

 

 

 

0

1

2

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

1

 

̅

 

 

 

 

 

 

 

= ̅̅̅

 

 

 

 

 

 

0

1

2

 

 

 

 

 

 

̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅

 

 

 

 

̅

 

 

1

2

 

 

0 = 0

 

2.3Функциональная схема

На рисунке 2.1 представлена функциональная схема демультиплексора

1–8.

12

Рисунок 2.1 – Функциональная схема DMX 1–8

Схема выполнена на базисе ИЛИ-НЕ.

2.4Моделирование

На рисунках 2.2 и 2.3 представлено моделирование в двух режимах.

Рисунок 2.2 – Моделирование DMX 1–8 (Timing)

13

Рисунок 2.3 – Моделирование DMX 1–8 (Functional)

Результаты моделирования совпадают с таблицей истинности.

2.5Код на HDL

На рисунке 2.4 представлено описание устройства на SystemVerilog

HDL.

Рисунок 2.4 – DMX 1–8 на SystemVerilog HDL 14

2.6Схема из RTL viewer для кода HDL

На рисунке 2.5 представлена схема для устройства DMX 1–8 из RTL

viewer.

Рисунок 2.5 – Схема устройства из RTL viewer

2.7Моделирование устройства, описанного кодом HDL

На рисунке 2.6 представлено моделирование устройства, описанного на

HDL, в режиме Timing.

15

Рисунок 2.6 – Моделирование DMX 1–8, описанного на SystemVerilog HDL (Timing)

Результаты моделирования совпадают с таблицей истинности.

2.8Таблица истинности масштабируемого устройства

Втаблице 2.2 представлена таблица истинности масштабируемого устройства DMX 1–16.

16

Таблица 2.2 – Таблица истинности DMX 1–16

 

 

Входы

 

 

 

 

 

 

 

 

 

Выходы

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

E

a3

a2

a1

a0

X

D15

D14

D13

D12

D11

D10

D9

 

D8

 

D7

D6

D5

D4

D3

D2

D1

D0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

0

0

0

b0

0

0

0

0

0

0

0

 

0

 

0

0

0

0

0

0

0

b0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

0

0

1

b1

0

0

0

0

0

0

0

 

0

 

0

0

0

0

0

0

b1

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

0

1

0

b2

0

0

0

0

0

0

0

 

0

 

0

0

0

0

0

b2

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

0

1

1

b3

0

0

0

0

0

0

0

 

0

 

0

0

0

0

b3

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

1

0

0

b4

0

0

0

0

0

0

0

 

0

 

0

0

0

b4

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

1

0

1

b5

0

0

0

0

0

0

0

 

0

 

0

0

b5

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

1

1

0

b6

0

0

0

0

0

0

0

 

0

 

0

b6

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

0

1

1

1

b7

0

0

0

0

0

0

0

 

0

 

b7

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

0

0

0

b8

0

0

0

0

0

0

0

 

b8

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

0

0

1

b9

0

0

0

0

0

0

b9

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

0

1

0

b10

0

0

0

0

0

b10

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

0

1

1

b11

0

0

0

0

b11

0

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

1

0

0

b12

0

0

0

b12

0

0

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

1

0

1

b13

0

0

b13

0

0

0

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

1

1

0

b14

0

b14

0

0

0

0

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

1

1

1

1

b15

b15

0

0

0

0

0

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

x

x

x

x

x

0

0

0

0

0

0

0

 

0

 

0

0

0

0

0

0

0

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2.9Функциональная схема масштабируемого устройства

На рисунке 2.7 представлена схема устройства DMX 1–16, построенного на основе DMX 1–8.

Рисунок 2.7 – Функциональная схема DMX 1–16

17

2.10 Моделирование масштабируемого устройства

На рисунках 2.8 и 2.9 представлено моделирование DMX 1–16 в двух режимах.

Рисунок 2.8 – Моделирование DMX 1–16 (Timing)

Рисунок 2.9 – Моделирование DMX 1–16 (Functional)

Результаты моделирования совпадают с таблицей истинности.

2.11 Код на HDL масштабируемого устройства

18

На рисунке 2.10 представлено описание устройства на SystemVerilog

HDL.

Рисунок 2.10 – DMX 1–16 на SystemVerilog HDL

2.12 Схема из RTL viewer для кода HDL масштабируемого устройства

На рисунке 2.11 представлена схема для устройства DMX 1–16 из RTL

viewer.

Рисунок 2.11 – Схема устройства из RTL viewer

2.13 Моделирование масштабируемого устройства, описанного

кодом HDL

На рисунке 2.12 представлено моделирование устройства, описанного на

HDL, в режиме Timing.

19

Рисунок 2.12 – Моделирование DMX 1–16, описанного на SystemVerilog HDL (Timing)

Результаты моделирования совпадают с таблицей истинности.

20