Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

4159

.pdf
Скачиваний:
2
Добавлен:
08.01.2021
Размер:
824.84 Кб
Скачать

8.Как применить универсальный сдвиговый регистр для преобразования параллельного кода в последовательный? Какие режимы для этого необходимы?

9.Как исследовать сдвиговый регистр в качестве расщепителя одной последовательности импульсов на 2 или 3 и более последовательностей? Как определить при этом фазовый сдвиг между различными последовательностями?

Лабораторная работа № 4. СЧЕТЧИКИ ИМПУЛЬСОВ

Цели работы – Изучение функционального назначения и свойств двоичных счетчиков импульсов, практическое ознакомление с режимами работы и применением двоичных счетчиков ИМС К155ИЕ9 и К155ИЕ13.

Основные сведения

Счетчики относятся к типовым последовательностным логическим устройствам цифровой техники. Они предназначены для счета количества импульсов и представления результата счета в той или иной системе счисления. По формату представления результата счетчики делятся на двоичные и двоичнокодированные. Соответственно, информация на выходах отображается в двоичном или двоично-кодированном формате. Наибольшее применение в устройствах автоматики вычислительной техники нашли двоичные счетчики. В них результат счета отображается двоичным k-разрядным числом, соответствующих количеству импульсов, поступивших на вход (вход счета).

По способу выдачи результата различают счетчики с параллельной (одновременной) и последовательной выдачей информации. В первом случае двоичное число-результат выдается одновременно всеми разрядами, поэтому счетчик имеет k выходов (k - число разрядов и ячеек счетчика). Во втором случае результат отображается моментом появления импульса на выходе (одном) счетчика относительно некоторого маркерного (опорного) момента времени - начала счета. Фактически результат счета представлен временным интервалом между маркерным и выходным импульсами (фазоимпульсное представление информации). Примером счетчика с фазоимпульсным представлением информации является микросхема К155ИЕ1 - декадный делитель. В данной лабораторной работе исследуется счетчики с параллельной выдачей информации.

В зависимости от способа управления процессом счета и принципа действия счетчики делятся на две группы: асинхронные и синхронные. В асинхрон-

ных счетчиках ячейки меняют состояние в ответ на появление определенного уровня на управляющем входе. У синхронных счетчиков изменение состояний ячеек происходит одновременно, под действием синхронизирующих импульсов. При этом инициатором смены состояний является перепад входного сигнала: 1–>0 либо 0–>1. В работе исследуются синхронные счетчики: двоичный К155ИЕ13 и двоично-десятичный К155ИЕ9.

Счетчики по способу управления подразделяются на суммирующие, вычитающие и реверсивные. В суммирующих счетчиках к содержимому ячеек прибавляется единица при подаче на вход счета одного импульса.

Например, содержимое 4-разрядного счетчика отображалось двоичным числом 1011. После подачи на вход одного импульса в счетчике будет результат

1011 + 0001 = 1100

Если счетчик вычитающий, то содержимое уменьшается на единицу, т.е.

1011 - 0001 = 1010

Реверсивный счетчик обладает этими двумя свойствами, т.е. может работать как в режиме суммирования, так и в режиме вычитания. Как правило, для этого имеется два входа: "+1" и "-1". Путем выбора входа, на который будут поступать импульсы, можно менять режим работы и, следовательно, изменять содержимое счетчика.

На рисунке 4.1 приведено условное графическое обозначение (УГО) ИМС К155ИЕ9 - 4-разрядного синхронного двоично-десятичного счетчика импульсов. Кроме названных, он имеет дополнительные входы и выходы, наличие которых расширяет функциональные свойства и применение микросхемы. Назначение входов и выходов счетчика указано в таблице 4.1.

Рисунок 4.1. - УГО двоично-десятичного счетчика К155ИЕ9.

Таблица 4.1.

 

Назначение выводов счетчика К155ИЕ9

 

 

Обозначение

Назначение вывода

 

 

D0….D3

Выход информации

 

 

С

Вход синхронизации записи с информационных вхо-

дов D1,….D8

 

 

 

 

Разрешение записи

 

 

EC1 (ECT)

Вход разрешения счета

 

 

EC2 (ECR)

Вход разрешения переноса

 

 

__

Входсброса

R

 

 

 

Q0,…Q3

Выходы информационные

 

 

СR

Выход сигнала ПЕРЕНОС

 

 

Счетчик может работать в двух режимах: записи и счета. Реализация данных режимов возможна только тогда, когда на входе сброса R присутствует сигнал логической единицы. В противном случае счетчик не реагирует на ка- кие-либо входные сигналы. На информационных выходах Q0,...,Q3 и выходе CR устанавливаются сигналы логического 0.

Для перевода счетчика в режим записи необходимо на вход подать сигнал лог. 0, состояние сигнала на входах. ECR и ECT при этом произвольное. По фронту сигнала на входе С значения логических уровней сигналов D0,...,D3 заносятся в соответствующие ячейки счетчика. При этом взаимные связи между ячейками игнорируются, а состояния ячеек меняются одновременно.

Для обеспечения возможности функционирования счетчика в режиме счета, эквивалентном режиму суммирования, необходимо присутствие лог. 1 на входе и сигналов лог. 0 на входах ECR и ECT. Тактовые импульсы, поступающие на вход С действует на ячейки по таким связям, что содержимое счетчика увеличивается на единицу. То есть меняется состояние ячейки самого младшего разряда, а сигнал переноса (если он возникает) меняет состояние ячейки следующего большего разряда. И так далее по мере поступления импульсов, пока в счетчике не окажется самое большое число: 1001(2)= 9(10). Очевидно, что следующий импульс обнулит ячейки (0000) и должен сформировать сигнал переноса в старший разряд. Этот сигнал появляется на выходе CR. При последующих импульсах на входе С процесс счета повторяется. Таким образом, 4- разрядный счетчик , в целом, проявляет себя как делитель по модулю 10: ка-

ждый 10-й импульс переводит счетчик в состояние, соответствующее его состоянию до начала счета.

Вторым счетчиком, исследуемым в работе, является синхронный реверсивный двоичный счетчик К155ИЕ13. На рисунке 4.2 приведено его УГО. Назначение входов и выходов счетчика указано в таблице 4.2.

Рисунок 4.2. УГО двоичного реверсивного счетчика К155ИЕ13.

Таблица 4.2

Назначение выводов счетчика К155ИЕ13

Обозначение

Назначение вывода

 

 

D0….D3

Выход информации

 

 

 

Разрешение записи

 

 

С

Синхронизация счета

 

 

 

Вход разрешения счета

 

 

 

Вход выбора направления счета

 

0 – суммирование (инкрементирование)

 

1 – вычитание (декрементирование)

 

 

Q0,…Q3

Выходы информационные

 

 

BR

Выход наращивания счета

 

 

 

Выход сигнала ПЕРЕНОС

 

 

В отличие от предыдущего, коэффициент пересчета данного счетчика составляет 16. Счетчик является реверсивным и может работать в трех режимах: записи, суммирования (инкрементирования) и вычитания (декрементирование). В микросхеме не предусмотрен вход сброса.

Для записи информации в счетчик необходимо на вход подать сигнал лог. 0, состояние сигналов на остальных управляющих входах при этом произвольное. Режим записи является асинхронным, поскольку установка счетчика в заданное состояние осуществляется уровнем сигнала на входе записи .

Для перевода счетчика в режим суммирования, необходимо присутствие лог. 1 на входе и сигналов лог. 0 на входах EC и . Тактовые импульсы, посту-

пающие на вход С, действует на ячейки по таким связям, что содержимое счетчика увеличивается на единицу. Функционирование счетчика в данном режиме подобно функционированию ИМС К155ИЕ9 в соответствующем режиме. Счет продолжается до достижения максимального числа: 1111(2)= 15(10). Следующий импульс обнулит ячейки (0000) и формируются сигнал переноса в старший разряд , совместно с сигналом на выходе наращивания разрядности BR. При последующих импульсах на входе С процесс счета повторяется. Таким образом, 4- разрядный счетчик, в целом, проявляет себя как делитель по модулю 16: каждый 16-й импульс переводит счетчик в состояние, соответствующее его состоянию до начала счета.

В отличие от режима суммирования, в режиме вычитания содержимое счетчика уменьшается на единицу в ответ на каждый импульс по входу "-1". Согласно правилам вычитания двоичных чисел, если уменьшаемое меньше вычитаемого, то из следующего старшего разряда уменьшаемого "занимается" единица, а затем производится вычитание. При этом. после "займа" единицы, во всех младших разрядах уменьшаемого, начиная с разряда где была взята единица, ставятся 1, а в разряде, с которого начинается вычитание и значение которого оказалось меньше значения разряда вычитаемого, ставится цифра 2 и производится вычитание.

Например, содержимое счетчика было нулевым 0000(2) и на вход "-1" подается импульс: 0000 - 0001 =? Тогда процесс вычитания будет выполняться так:

Таким образом, после вычитания единицы в счетчике окажется число 1111(2) = 15(10), а сигнал "заем" появится на выходе , совместно с сигналом на выходе наращивания разрядности BR в виде импульса логического 0. Следующие импульсы по входу "-1" будут уменьшать содержимое счетчика без появления сигнала "заем" до тех пор, пока вновь его содержимое не окажется нулевым. Это произойдет на 16 импульсе. Затем процесс будет повторяться.

Такую работу можно организовать изменением связей между ячейками счетчика. Поскольку физические связи в микросхеме неизменны, то у реверсивных счетчиков предусмотрены оба варианта связей. Выбор варианта осуществляется подачей сигнала выбора направления на вход "".

Двоично-кодированные счетчики строятся на основе двоичных путем введения обратных связей. Различают три способа организации двоичнокодированных счетчиков:

-управляемого сброса;

-исключения лишних состояний;

-принудительной установки.

Для организации двоично-кодированного счетчика по способу управляемого сброса необходимо к выходам двоичного счетчика подключить комбинационную схему. Данная схема должна быть настроена на комбинацию равную требуемому модулю счета. Выход комбинационной схемы подключается ко входу сброса счетчика, образуя обратную связь. На рисунке 4.3 приведен пример реализации счетчика на 10. При достижении счетчиком комбинации, равной модулю пересчета комбинационная схема подает активный сигнал на вход сброса счетчика, в результате чего он переходит в комбинацию «0000».

Рисунок 4.3. Организация счетчика на 10 по способу управляемого сбро-

са.

Способ исключения лишних состояний во многом похож на описанный выше. В нем также задействуется дополнительная комбинационная схема. Однако, она настраивается на комбинацию, на единицу меньшую требуемого модуля счета. Выход же комбинационной схемы подключается ко входу установки счетчика в единицы. При достижении счетчиком комбинации, на которую настроена комбинационная схема, производится его перевод в состояние «1111». Далее, по следующему тактовому импульсу, он переходит в комбинацию «0000» и счет повторяется с начала. Схема реализации счетчика на 10 по способу исключения лишних состояний приведена на рисунке 4.4.

Рисунок 4.4. Организация счетчика на 10 по способу исключения лишних состояний.

Для организации двоично-кодированного счетчика по способу принудительной установки необходимо, чтобы базовый счетчик имел входы предустановки. Кроме того, он должен обладать выходами переноса или переполнения. Данный способ организации также предусматривает наличие обратной связи в счетчике. Она устанавливается с выхода переполнения или займа на вход синхронизации записи. При реализации данного способа счетчик может быть инкрементирующим или декрементирующим. При реализации двоичнокодированного счетчика на декрементирующем счетчике на его информационные входы необходимо бодать двоичную комбинацию на единицу меньшую требуемого модуля счета. Данный счетчик последовательно будет проходить комбинации в сторону уменьшения. При попытке перехода из комбинации «0000» в комбинацию «1111» на его выходе займа возникнет импульс. По данному импульсу будет произведена повторная запись исходной комбинации в счетчик. В качестве примера на рисунке 4.5. приведена реализация счетчика на 10 на основе ИМС К155ИЕ7.

При реализации двоично-кодированного счетчика на основе инкрементирующего необходимо в качестве сигнала обратной связи выбирать сигнал переполнения. Исходная комбинация, записываемая в данном случае в счетчик, должна представлять разность между максимальным количеством комбинаций двоичного счетчика и требуемым модулем пересчета.

Рисунок 4.4. Организация счетчика на 10 по способу принудительной установки.

Состав лабораторной установки Лабораторная работа выполняется на субблоке "счетчики" унифициро-

ванного лабораторного стенда.

Функциональные свойства счетчиков на примере микросхем К155ИЕ9 и К155ИЕ13, представляющих собой, соответственно: двоично-десятичный счетчик и двоичный реверсивный счетчик.

Для задания сигналов по информационным входам служат тумблеры SA1÷SA8 и коммутационные гнезда "+" и "^". Путем коммутации этих гнезд со входами можно задать функциональные значения сигналов по каждому информационному входу счетчика.

Для визуализации состояния счетчиков их выходы подключаются к светодиодным индикаторам.

Задание на лабораторную работу

4.4.1.Уяснить принципы построения и режимы работы микросхем К155ИЕ9 и К155ИЕ13.

4.4.2.Начертить УГО счетчиков и построить временные диаграммы их работы в режимах счета и записи информации. Построить таблицу режимов работы счетчиков по форме таблицы 4.3 и таблицы 4.4.

4.4.3.Исследовать работу счетчиков при одиночных импульсах по входу счета в различных режимах: сначала без, а затем с предварительной записью информации. Сделать выводы по результатам экспериментов.

4.4.4.Выполните индивидуальные задания согласно таблицы 4.5. Вариант указывается преподавателем. Постройте функциональную схему включения счетчика и временные диаграммы работы устройства. Сделайте выводы по применению счетчиков.

Задание выполнить согласно указаниям п. 4.5.3.(см. ниже)

Таблица 4.3.

Режимы работы ИМС К155ИЕ9

Режим

 

Значение сигналов по входам

Значение сигналов на выходах

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

R

 

C

EWR

ECR

ECT

D3

D2

D1

D0

Q3

Q2

Q1

Q0

CR

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

За-

1

 

/

0

0

0

 

 

 

 

 

 

 

 

 

 

 

писи

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

/

0

0

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

/

0

1

0

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

/

0

1

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Сче-

1

 

/

1

0

0

Х8

Х4

Х2

Х1

~

~

~

~

~

 

та

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

. . .

1

 

/

1

0

0

Х8

Х4

Х2

Х1

~

~

~

~

~

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

/

1

1

0

Х8

Х4

Х2

Х1

~

~

~

~

~

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

/

1

1

1

Х8

Х4

Х2

Х1

~

~

~

~

~

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

1

 

/

 

 

1

 

1

 

 

1

Х8

Х4

Х2

Х1

~

 

 

~

 

~

 

~

 

~

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Сбр

 

0

 

*

 

 

*

 

*

 

 

*

Х8

Х4

Х2

Х1

 

 

 

 

 

 

 

 

 

 

 

 

 

оса

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Таблица 4.4.

 

 

 

 

 

 

 

 

 

 

 

Режимы работы ИМС К155ИЕ13

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Режим

 

 

Значение сигналов по входам

 

 

Значение сигналов на выходах

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

EWR

C

E-1/Е+1

 

EC

 

D3

D2

 

D1

D0

Q3

 

Q2

Q1

Q0

CR

BR

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Записи

0

 

0

 

0

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

0

 

1

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

1

 

0

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

0

 

1

 

1

 

 

1

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Сум-

1

 

/

 

0

 

 

0

 

Х8

Х4

 

Х2

Х1

~

 

~

 

~

 

~

 

~

 

~

 

 

ми-

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

рование

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

. . .

 

1

 

/

 

0

 

 

0

 

Х8

Х4

Х2

Х1

Х8

~

~

 

~

 

~

 

~

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Вычи-

1

 

/

 

1

 

 

0

 

Х8

Х4

 

Х2

Х1

Х8

 

~

 

~

 

~

 

~

 

~

 

 

тание

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

. . .

 

1

 

/

 

1

 

 

0

 

Х8

Х4

Х2

Х1

Х8

~

~

 

~

 

~

 

~

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Методические указания.

1.Эксперименты по исследованию режимов работы счетчиков спланируйте самостоятельно так, чтобы получить подтверждение (или опровержение) результатам анализа рисунков 4.1 и 4.2, и данным таблиц 4.1, 4.2. Это и составит предмет для окончательных выводов по свойствам микросхем К155ИЕ9 и

К155ИЕ13.

2.Поставьте опыт в режимах счета с автоматической предустановкой по достижению конца счета. Сделайте выводы по экспериментам и объясните результаты. Для заполнения таблиц 4.3 и 4.4. необходимо руководствоваться значениями входных сигналов, приведенными в таблицах. В режимах счета должны быть исследованы полные циклы работы счетчиков, т.е. перебраны все М комбинаций (М – модуль счета). Под знаком тильда (~) понимается то, что должен производиться перебор комбинаций.

3.По указанию преподавателя выполните один из вариантов индивидуального задания. В каждом варианте заданий необходимо организовать двоич-

но-кодированный счетчик. Такой счетчик можно рассматривать в качестве делителя входной частоты импульсов. Способы реализации и типы счетчиков различаются в зависимости от варианта. Варианты заданий приведены в таблице 4.5.

 

 

 

 

Таблица 4.5.

 

Варианты индивидуальных заданий.

 

 

 

 

 

 

Счетчик

Режим

Способ организации

Коэффициент

варианта

 

 

 

деления

 

 

 

 

 

1.

ИЕ9

+1

Управляемый сброс

7

 

 

 

 

 

2.

ИЕ13

+1

Принудительная уста-

7

 

 

 

новка

 

 

 

 

 

 

3.

ИЕ9

+1

Управляемый сброс

5

 

 

 

 

 

4.

ИЕ13

+1

Принудительная уста-

5

 

 

 

новка

 

 

 

 

 

 

5.

ИЕ9

+1

Принудительная уста-

8

 

 

 

новка

 

 

 

 

 

 

6.

ИЕ13

-1

Принудительная уста-

9

 

 

 

новка

 

 

 

 

 

 

7.

ИЕ13

-1

Принудительная уста-

12

 

 

 

новка

 

 

 

 

 

 

8.

ИЕ13

-1

Принудительная уста-

13

 

 

 

новка

 

 

 

 

 

 

9.

ИЕ9

+1

Принудительная уста-

6

 

 

 

новка

 

 

 

 

 

 

10.

ИЕ13

+1

Принудительная уста-

14

 

 

 

новка

 

 

 

 

 

 

4.Предполагается, что импульсы счета поступают на входы счетчиков непрерывно с фиксированным периодом, равным t. Поскольку счетчики имеют динамическую синхронизацию т.е. тактируются фронтом сигнала, то значение скважности входных импульсов не существенно при реализации индивидуального задания.

5.Счетчик К155ИЕ9 является инкрементирующим, поэтому во всех вариантах ему назначен режим «+1». Счетчик К155ИЕ13 реверсивный. Он может выполнять операции инкремента и декремента. Направление счета для данного счетчика задается в столбце «режим» таблицы 4.5. Однако, данный счетчик не

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]